Low-Energy Intra-Task Voltage Scheduling using Static Timing Analysis

정적 시간 분석을 이용한 저전력 태스크내 전압 스케줄링

  • Sin, Dong-Gun (Dept.of Electric Computer Engineering, Seoul National University) ;
  • Kim, Ji-Hong (Dept.of Electric Computer Engineering, Seoul National University) ;
  • Lee, Seong-Su (Dept.of Information communication, Ewah Wonan's University)
  • 신동군 (서울대학교 전기컴퓨터공학부) ;
  • 김지홍 (서울대학교 전기컴퓨터공학부) ;
  • 이성수 (이화여자대학교 정보통신학과)
  • Published : 2001.12.01

Abstract

Since energy consumption of CMOS circuits has a quadratic dependency on the supply voltage, lowering the supply voltage is the most effective way of reducing energy consumption. We propose an intra-task voltage scheduling algorithm for low-energy hard real-time applications. Based on a static timing analysis technique, the proposed algorithm controls the supply voltage within an individual task boundary. By fully exploiting all the slack times, as scheduled program by the proposed algorithm always complete its execution near the deadline, thus achieving a high energy reduction ratio. In order to validate the effectiveness of the proposed algorithm, we built a software tool that automatically converts a DVS-unaware program into an equivalent low-energy program. Experimental results show that the low-energy version of an MPEG-4 encoder/decoder (converted by the software tool) consumes less than 7~25% of the original program running on a fixed-voltage system with a power-down mode.

CMOS 회로의 전력 소모는 공급 전압의 제곱에 비례하기 때문에 공급 전압을 낮추는 것이 전력 소모를 줄이는 데 매우 효과적이다. 본 논문에서는 저전력 경성 실시간 응용프로그램을 위한 태스크내 전압 스케줄링 알고리즘을 제안한다. 정적 시간 분석 기법을 바탕으로 제안된 이 알고리즘은 각각의 태스크 내부에서 프로세서의 공급 전압을 조정한다. 제안된 알고리즘에 의해 전압 스케줄링된 프로그램은 모든 유휴 시간을 완전히 이용함으로써 항상 프로그램의 수행을 마감 시간에 근접하여 끝나도록 하여 많은 전력 감소 효과를 얻을 수 있다. 제안된 알고리즘의 효과를 검증하기 위해 일반적인 프로그램을 동적 전압을 사용하는 같은 기능의 프로그램으로 자동으로 변환하는 소프트웨어 도구도 개발되었다. 실험 결과, 자동화 소프트웨어 도구에 의해 변환된 MPEG-4 부호기와 복호기의 저전력 버전이 전원 차단 기능을 가진 고정 전압 시스템에서 실행된 원래 프로그램에 비하여 전력 소모가 7~25%에 불과함을 알 수 있었다.

Keywords

References

  1. T. Burd and R. Broderson. Processor design for portable systems. Journal of VLSI Signal Processing, Vol. 13, No.2, pp. 203-222, 1996 https://doi.org/10.1007/BF01130406
  2. T. Sakurai and A. Newton, Alpha-power law MOSFET model and its application to CMOS inverter delay and other formulas. IEEE Journal of Solid State Circuits, Vol. 25, No.2, pp. 584-594, 1990 https://doi.org/10.1109/4.52187
  3. F. Yao, A. Demers, and S. Shenker. A scheduling model for reduced CPU energy. In Proc. of the 36th Annual Symposium on Foundations of Computer Science, pp. 374-382, 1995 https://doi.org/10.1109/SFCS.1995.492493
  4. I. Hong, G. Qu, M. Potkonjak, and M. B. Srivastava. Synthesis techniques for low-power hard real-time systems on variable voltage processor. In Proc. of the 19th IEEE Real-Time Systems Symposium, pp. 178-187, 1998 https://doi.org/10.1109/REAL.1998.739744
  5. T. Okuma, T. Ishihara, and H. Yasuura. Real-time task scheduling for a variable voltage processor. In Proc. of the 72th International Symposium On System Synthesis, pp. 24-29, 1999 https://doi.org/10.1109/ISSS.1999.814256
  6. Y. Shin and K. Choi. Power conscious fixed priority scheduling for hard real-time systems. In Proc. of the 36th Design Automation Conference, pp. 134-139, 1999 https://doi.org/10.1145/309847.309901
  7. Y. Lee and C. M. Krishna. Voltage-clock scaling for low energy consumption in Teal-Lime embedded systems. In Proc. of the 6th International Conference on Real-Time Computing Systems and Applications, pp. 272-279, 1999 https://doi.org/10.1109/RTCSA.1999.811255
  8. S. Lee and T. Sakurai. Run-time voltage hopping for low-power real-time systems. In Proc. of the 37th Design Automation Conference, pp. 806-809, 2000 https://doi.org/10.1109/DAC.2000.855424
  9. T. Burd, T. Pering, A. Stratakos, and R Brodersen. A dynamic voltage scaled microprocessor system. In Proc. of IEEE International Solid-State Circuits Conference, pp. 294-295, 2000 https://doi.org/10.1109/ISSCC.2000.839787
  10. M. Fleischmann. Crusoe power management: reducing the operating power with LongRun. In Proc. of HotChips 12 Symposium, 2000
  11. C. A. Healy, D. B. Whalley, and M. G. Harmon. Integrating the timing analysis 01 pipelining and instruction caching. In Proc. of the 16th IEEE Real-Time Systems Symposium, pp. 288-297, 1995 https://doi.org/10.1109/REAL.1995.495218
  12. S.-S. Lim. Y. H. Bae, G. T. Jang, B.-D. Rhee, S. L. Min, C. Y. Park, H. Shin, K. Park, and C. S. Kim. An accurate worst case tinting analysis for RISC processors. IEEE Transactions on Software Engineering; Vol. 21, No.7, pp, 593-604, 1995 https://doi.org/10.1109/32.392980
  13. Y. S. Li, S. Malik, and A. Wolfe. Cache modeling [or real-time software: beyond direct mapped instruction caches. In Proc. of the 17th IEEE Real-Time Systems Symposium. pp. 254-263, 1996 https://doi.org/10.1109/REAL.1996.563722
  14. T. Ishihara and H. Yasuura, Voltage scheduling problem for dynamically variable voltage processors. In Proc. of International Symposium On Low Power Electronics and Design, pp. 197-202, 1998