An Efficient Algorithm for Test Pattern Compaction using Independent Faults and Compatible Faults

독립고장과 양립 가능한 고장을 이용한 효율적인 테스트 패턴 압축 기법

  • Yun, Do-Hyeon (The Digital Media Research Center of LG Electronics) ;
  • Gang, Seong-Ho (Dept.of Mechanical Electronics Engineering, Yonsei University) ;
  • Min, Hyeong-Bok (Dept.of Electric Electronics Computer Engineering, Sungkyunkwan University)
  • 윤도현 (LG전자 Digital Media 연구소) ;
  • 강성호 (연세대학교 기계전자공학부) ;
  • 민형복 (성균관대학교 전기전자컴퓨터공학부)
  • Published : 2001.02.01

Abstract

As combinational ATPG algorithms achieve effectively 100% fault coverage, reducing the length of test set without loosing its fault coverage becomes a challenging work. The new approach is based on the independent and the compatible relationships between faults. For more compact test set, the size of compatible fault set must be maximized, thus this algorithm generates fault-pattern pairs, and a fault-pattern pair tree structure using the independent and the compatible relationships between faults. With the fault-pattern pair tree structure, a compact test set effectively generated. The experimental results for ISCAS 85 and 89 benchmark circuits demonstrate the effectiveness of the proposed method.

조합회로에 대한 ATPG 알고리듬이 효율적으로 100%의 고장 검출율을 달성할 수 있게 되어 감에 따라서 고장 검출율을 그대로 유지한 상태에서 테스트 패턴을 줄이는 압축 기법의 중요성이 점차로 부각되고 있다. 본 논문에서 제시하는 알고리듬은 고장들간의 독립과 양립 관계에 기초해서, 압축된 테스트 패턴을 위해서는 양립할 수 있는 고장 집합의 크기를 크게 해야 하므로, 고장-패턴 쌍과 고장들간의 독립과 양립 관계를 이용해서 고장-패턴 쌍의 트리 구조를 생성하였다. 이 고장-패턴 트리를 바탕으로 해서 효율적으로 압축된 테스트 패턴을 생성할 수 있었고, ISCAS 85와 ISCAS 89 측정 기준 회로에 대한 결과로 제시된 알고리듬의 우수성을 검증하였다.

Keywords

References

  1. J. P. Roth, 'Diagnosis of Automata Failures: A Calculus and a Method,' IBM Journal of Research and Development, vol. 10, pp. 278-291, July 1966
  2. P. Gael, 'An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits,' IEEE Trans. on Computer, vol. C-30, pp. 215-222, Mar. 1981 https://doi.org/10.1109/TC.1981.1675757
  3. H. Fujiwara, and T. Shimono, 'On the Acceleration of Test Generation Algorithms,' IEEE Trans. on Computer, vol. C-32, pp. 1137-1144, Dec. 1983 https://doi.org/10.1109/TC.1983.1676174
  4. M. Schultz, E. Trischler, and T. Sarfert, 'SOCRATES: A Highly Efficient Automatic Test Pattern Generatio System,' IEEE Trans. on CAD, pp. 126-137, Jan. 1988 https://doi.org/10.1109/43.3140
  5. Y. Matsunaga, 'MINT-An exact algorithm for finding minimum test sets,' IEICE Trans. Fundamentals, vol. E-76-A, pp. 1652-1658, Oct. 1993
  6. S. B. Akers, C. Joseph, and B. Krishnamurthy, 'On the role of independent fault sets in the generation of minimal test sets,' Proc. of International Test Conference, Sept. 1987, pp. 1100-1107
  7. B. Krishnamurthy, and S. B. Akers, 'On the complexity of estimating the size of test set,' IEEE Trans. on Computer, vol. C-33, no. 8, pp. 750-753, Aug. 1984
  8. B. Ayari, and B. Kaminska, 'A new dynamic test vector compaction for automatic test generation,' IEEE Trans. on CAD, vol. C-13, no. 3, pp. 353-358, Mar. 1994 https://doi.org/10.1109/43.265676
  9. S. Kajihara, I. Pomeranz, K Kinoshita, and S. M. Reddy, 'Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits,' Proc. of 30th Design Aturnation Conference, pp. 102-100, June 1993 https://doi.org/10.1145/157485.164617
  10. F. Brglez, and H. Fujiwara, 'A Neural Netlist of 10 Combinational Benchmark Designs and a Special Translator in Fortran,' Proc. of International Symposium on Circuits and Systems, June 1985
  11. F. Brglez, D. Bryan, and K. Kozminski, 'Combinational Profiles of Sequential Benchmark Circuits,' Proc. of International Symposium on Circuits and Systems, May 1989 https://doi.org/10.1109/ISCAS.1989.100747
  12. Sang Yoon Han, Sungho Kang, 'Efficient Redundancy Identification for Test Pattern Generation,' Proc. of IEEE International ASIC conference, pp 52-56, Sep. 1997. pp 52-56, September 1997 https://doi.org/10.1109/ASIC.1997.616977
  13. 임동욱, 민형복, '검사 신호에 대한 저비용 압축,' 정보과학회 논문지(A), 제 25권, 제 11호, Nov. 1998