W Polymetal Gate Technology for Giga Bit DRAM

  • Published : 2001.03.01

Abstract

W polymetal gate technology for giga bit DRAM are presented. Key module processes for polymetal gate are studied in detail. $W/WN_x/poly-silicon$ adopted for a word line of 256Mbit DRAM has good gate oxide integrity and junction leakage characteristics through full integration, which is comparable to those of conventional $WSi_x$/Poly-silicon gate process. These results undoubtedly show that $W/WN_x/poly-silicon$ is the strongest candidate as a word line for Giga bit DRAM.

Keywords

References

  1. Y. Hiura, A. Azuma, K. Nakajima, Y. Akasaka, K. Miyano, H. Nitta, A. Honjo, K. Tsuchida, Y. Toyoshima, K. Suguro, and Y. Kohyarna, 'Integration technology of polymetal dual gate CMOS for 1 Gbit DRAMs and beyond,' in IEDM Tech. Dig., pp. 389-392, 1998 https://doi.org/10.1109/IEDM.1998.746381
  2. Kinarn Kim 'DRAM Technology Perspective for standalone and Embedded Applications,' (invited paper), vol. 40, No.2, pp. 191-206, Microelectronics Reliability, 2000 https://doi.org/10.1016/S0026-2714(99)00220-6
  3. B. H. Lee, D. K. Sohn, J. S. Park, C. H. Han, Y. J. Huh, J. S. Byun, and J. Kim, 'In-situ barrier formation for high reliable W/barrier/poly-silicon gate using denudation of WNx on polycrystalline si.: in IEDM Tech. Dig., pp. 385-388, 1998 https://doi.org/10.1109/IEDM.1998.746380
  4. H. Wakabayashi, T. Andoh, K. Sato, K. Yoshisa, H. Miyamoto, T. Mogami, and T. Kunio, 'Highly reliable W/TiN/pn-poly-silicon gate CMOS technology with simultaneous gate and source/drain doping process,' in IEDM Tech. Dig., pp. 447-450, 1996 https://doi.org/10.1109/IEDM.1996.553623
  5. M. T. Takagi, K. Miyashita, H. Koyama, K. Nakajima, K. Miyano, Y. Aksaska, Y. Hiura, S. Inaba, A. Azuma, H. Koike, H. Yoshimura, K. Suguro, and H. Ishiuchi, 'A novel $0.15{\mu}m$ CMOS technology using W/WNx/polysilicon gate electrode and Ti silidiced source/drain diffusions,' in IEDM Tech. Dig., pp. 455-458, 1996 https://doi.org/10.1109/IEDM.1996.553625
  6. K. Ohinishi, N. Yamamoto, T. Uchino, Y. Hanaoka, T. Tsuchiya.Y. Nonaka, Y. Tanabe, T. Umezaya, N. Fukuda, S. Mitani, and T. Shiba, 'Improving gate oxide intergrity (GOI) of a W/WNx/dual-poly SI stacked-gate by using wet-hydrogen oxidation in 0.14-um CMOS devices,' in IEDM Tech Dig., pp. 397-400, 1998 https://doi.org/10.1109/IEDM.1998.746383
  7. J. W. Jung, S. W. Lee, Y. G. Sung, B. H. Lee, J. H. Choy, B.J.. Lee, R. H. Park, and S. B. Han, 'A fully working 256Mbit DRAM technology with polymetal (W/WNx/polysilicon)gate,' in IEDM Tech. Dig., pp. 365-368, 2000 https://doi.org/10.1109/IEDM.2000.904331
  8. Jong-Wan Jung, S. W. Lee, Y. K. Sung, B. H. Lee, M. H. Lim, H. S. Kim, J. H. Choy, B. J. Lee, N. H. Park, and S. B. Han, 'Polyrnetal ($W/WN_x/poly-silicon$) gate technology in 256 MDRAM and beyond,' in Semicon Korea technical symposium, pp. 407-411, 2001
  9. K. Nakajima, Y. Akasaka, K. Miyano, M. Takshashi. S. Suehiro, K. Suguro, 'Formation mechanism of ultrathin WSiN barrier layer in a W/WNx/Si systen,' Applied surface science, 117/118, pp. 312-316, 1997 https://doi.org/10.1016/S0169-4332(97)80100-6
  10. T. H. Yoon, K. C. Joung, J. H. Kim, W. C. Cho, W. Y. Yang, and D. H. Song, 'A new process integration-P3(pre poly plug)-for Giga bit DRMA era,' in Symp. on VLSl Tech. Dig., pp. 37-38, 1999 https://doi.org/10.1109/VLSIT.1999.799328
  11. Jong-Wan Jung, Y. G. Sung, S. H. Lee, S. W. Park, J. H. Choy, and B. J. Lee, 'Impact of gate etch on retention time of DRAM with polymetal gate,' in 8th Korean conference on semiconductor, pp. 681-682, 2001