SMC: An Seed Merging Compression for Test Data

시드 병합을 통한 테스트 데이터의 압축방법

  • Lee Min-joo (Department of Electrical Electronic School, Yonsei University) ;
  • Jun Sung-hun (Department of Electrical Electronic School, Yonsei University) ;
  • Kim Yong-joon (Department of Electrical Electronic School, Yonsei University) ;
  • Kang Sumg-ho (Department of Electrical Electronic School, Yonsei University)
  • 이민주 (연세대학교 전기전자 공학부) ;
  • 전성훈 (연세대학교 전기전자 공학부) ;
  • 김용준 (연세대학교 전기전자 공학부) ;
  • 강성호 (연세대학교 전기전자 공학부)
  • Published : 2005.09.01

Abstract

As the size of circuits becomes larger, the test method needs more test data volume and larger test application time. In order to reduce test data volume and test application time, a new test data compression/decompression method is proposed. The proposed method is based on an XOR network uses don't-care-bits to improve compression ratio during seed vectors generation. After seed vectors are produced seed vectors can be merged using two prefix codes. It only requires 1 clock time for reusing merged seed vectors, so test application time can be reduced tremendously. Experimental results on large ISCAS '89 benchmark circuits prove the efficiency of the proposed method.

회로가 커짐에 따라 테스트 데이터양이 증가하고, 테스트 적용시간이 길어지고 있다. 따라서 테스트 데이터양과 테스트 적용시간을 줄이기 위해서, 테스트 데이터의 압축/복원을 위한 새로운 방법을 제안하고자 한다. 제안하는 방법은 시드 벡터를 생성할 때, 압축률을 높이기 위해 무상관비트를 사용하는 XOR 트리에 기반을 두고 있다. 시드 벡터가 생성이 되면, 2비트 길이를 가진 코드를 사용하여 시드를 병합한다. 이렇게 병합된 시드는 1 클럭 시간동안에 재사용될 수가 있어, 테스트 데이터 적용시간을 크게 감소시킬 수 있다 제안하는 방법의 효율성은 ISCAS '89 벤치 회로에 대한 실험 결과로 알 수 있다.

Keywords

References

  1. Y. Zorian, S. Dey and M. J. Rodgers, 'Test of future system on chips,' Proceedings of International Conference on Computer Aided Design, pp. 392-400, 2000 https://doi.org/10.1109/ICCAD.2000.896504
  2. C. V. Krishna and Nur A. Touba, 'Adjustable width linear combinational scan vector decompression,' Proceedings of International Conference on Computer Aided Design, pp. 863-866, 2003 https://doi.org/10.1109/ICCAD.2003.26
  3. Hideyuki Ichihara and Kozo Kinoshita and Koji Isodono, 'Channel width test data compression under a limited number of test inputs and outputs,' Proceedings of the 16th International Conference on VLSI Design, pp. 329 - 334, 2003 https://doi.org/10.1109/ICVD.2003.1183158
  4. I. Hamzaoglu and J. H. Patel, 'Reducing test application time for Built-In-Self-Test test pattern generators,' Proceedings of IEEE VLSI Test Symposium, pp. 260 - 267, 1999
  5. S. Bhatia and P. Varma, 'Test compaction in a parallel access scan environment,' Proceedings of Asian Test Symposium, pp. 300-305, 1997
  6. I. Hamzaoglu and J. H. Patel, 'Test set compaction algorithms for combinational circuits,' Proceedings of International Test Conference, pp. 283-289, 1998
  7. I. Pomeranz and S. M. Reddy, 'Static test compaction for scan based designs to reduce test application time,' Proceedings of Seventh Asian Test Symposium, pp. 198 - 203, 1998
  8. R. Sankaralingam, R. Oruganti, and N. A. Touba, 'Static compaction techniques to control scan vector power dissipation,' Proceedings of VLSI Test Symposium, pp. 35 - 40, 2000 https://doi.org/10.1109/VTEST.2000.843824
  9. A. Jas, J. Ghosh-Dastidar and N. A. Touba, 'Scan vector compression/decompression using statistical coding,' Proceedings of VLSI Test Symposium, pp. 25 - 29, 1999
  10. A. Chandra and K. Chakrabarty, 'System-on-a-Chip test data compression and decompression architectures based on golomb codes,' Proceedings of International Conference on Computer Aided Design, vol. 20, n. 3, pp. 355 - 368, 2001 https://doi.org/10.1109/43.913754
  11. Anshuman Chandra and Krishnendu Chakrabarty, 'Test data compression and test resource partitioning for System-on-a chip using frequency-directed run-length (FDR) Codes,' IEEE Transactions on Computers, vol. 52, pp. 1076 - 1088, 2003 https://doi.org/10.1109/TC.2003.1223641
  12. C. V. Krishna, Abhijit Jas, and Nur A. Touba. 'Test vector encoding using partial LFSR reseeding,' Proceedings qf International Test Conference, pp. 885 - 893, 2001 https://doi.org/10.1109/TEST.2001.966711
  13. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman and B. Courtois, 'Built-in Test for circuits with scan based on reseeding of multiple-polynomial linear feedback shift registers,' IEEE Transactions on Computers, vol. 44, n. 2, pp. 223 - 233, 1995 https://doi.org/10.1109/12.364534
  14. I. Bayraktaroglu and A. Orailogu, 'Test volume and application time reduction through scan chain concealment,' Proceedings of The Design Automation Conference, pp. 151 - 155, 2001
  15. Wenjing Rad and Ismet Bayraktaroglu and Alex Orailoglu, 'Test application time and volume compression through seed overlapping,' Proceedings of The Design Automation Conference, pp. 732 - 737, 2003
  16. Ismet Bayraktaroglu and Alex Orailoglu, 'Concurrent application of compaction and compression for test time and data volume reduction in scan designs,' IEEE Transactions on Computers, vol. 52, NO. 11, pp. 1480 - 1489, 2003 https://doi.org/10.1109/TC.2003.1244945
  17. I. Hamzaoglu and J. H. Patel, 'Reducing test application time for full scan embedded cores,' Proceedings of IEEE International Symposium Fault-Tolerant Computing, pp. 260 - 267, 1999 https://doi.org/10.1109/FTCS.1999.781060
  18. A. Jas, B. Pouya, and N. A. Touba, 'Virtual scan chains: A means for reducing scan length in cores,' Proceedings of IEEE VLSI Test Symposium, pp. 73 - 78, 2000 https://doi.org/10.1109/VTEST.2000.843829
  19. A. El-Maleh, S. al Zahir, and E. Khan, 'A geometric-primitives-based compression scheme for testing systems-on-a-chip,' Proceedings of IEEE VLSI Test Symposium, pp. 49 - 54, 2001 https://doi.org/10.1109/VTS.2001.923418