An Efficient Interconnect Test Pattern Generation Algorithm for Crosstalk Faults

Crosstalk 고장 점검을 위한 효과적인 연결선 테스트 패턴 생성 알고리즘에 관한 연구

  • Han, Ju-Hee (Department of Computer Science & Engineering, Hanyang University) ;
  • Song, Jae-Hoon (Department of Computer Science & Engineering, Hanyang University) ;
  • Yi, Hyun-Bean (Department of Computer Science & Engineering, Hanyang University) ;
  • Kim, Jin-Kyu (Department of Computer Science & Engineering, Hanyang University) ;
  • Park, Sung-Ju (Department of Computer Science & Engineering, Hanyang University)
  • 한주희 (한양대학교 컴퓨터공학과) ;
  • 송재훈 (한양대학교 컴퓨터공학과) ;
  • 이현빈 (한양대학교 컴퓨터공학과) ;
  • 김진규 (한양대학교 컴퓨터공학과) ;
  • 박성주 (한양대학교 전자컴퓨터공학부)
  • Published : 2007.12.25

Abstract

The effect of crosstalk errors is most significant in high-performance circuits. This paper presents effective test patterns for SoC and Board level interconnects considering actual effective aggressors. Initially '6n' algorithm, where 'n' is the total number of interconnect nets, is analyzed to detect and diagnose 100% crosstalk faults. Then, more efficient algorithm is proposed reducing the number of test patterns significantly while maintaining complete crosstalk fault coverage.

고성능의 칩을 설계함에 있어 연결선 사이의 크로스토크 고장은 무시할 수 없는 요인이 되었다. 본 논문에서는 칩 및 보드레벨에서의 연결선 테스트를 위한 효과적인 테스트 패턴 알고리즘을 제시한다. 크로스토크 고장 점검율이 100%인 기존 6n 알고리즘을 분석하고 실질적으로 크로스토크 영향을 주는 net를 고려하여 보다 적은 패턴으로 동일한 고장 점검율을 얻는 새로운 알고리즘을 제안한다.

Keywords

References

  1. H. Zhou and D. F. Wang, 'Global Routing with Crosstalk Constaints', in Proc. IEEE Design Automation Conf., 1988, pp. 374-377
  2. M. Cuviello, S. Dey, X. Bai, and Y. Zhao, 'Fault modeling and simulation for crosstalk in system-on-chip interconnects,' in Proc. Int. Computer-Aided Design Conf., 1999, pp. 297-303
  3. X. Bai, S. Dey and J. Rajski, 'Self-test methodology for at-speed test of crosstalk in chip interconnects,' in Proc. ACM/IEEE Design Automation Conf., 2000, pp. 619 - 624
  4. K. Sekar and S. Dey, 'LI-BIST: a low-cost self-test scheme for SoC logic cores and interconnects,' in Proc. VLSI Test Symp., 2002, pp. 417 - 422
  5. A. Hassan, J. Rajski, and V.K. Agrawal, 'Testing and diagnosis of interconnects using boundary scan architecture,' in Proc. IEEE Int. Test Conf., 1988, pp.126-137
  6. W. T. Cheng, J. L. Lewandowski, and E. Wu, 'Optimal diagnostic methods for wiring interconnects,' IEEE Trans. on Computer-Aided Design, vol. 11, No. 9, pp. 1161-1166, Sept. 1992 https://doi.org/10.1109/43.160002
  7. N. Jarwala and C. W. Yau, 'A new framework for analyzing test generation and diagnosis algorithms for wiring interconnects,' in Proc. IEEE Int. Test Conf., 1989, pp. 63 - 70
  8. Sungju Park, 'A new complete diagnosis patterns for wiring interconnects,' in Proc. IEEE Design Automation Conf. 1996, pp. 203 - 208
  9. R. Pendurkar, A. Chatterjee, and Y. Zorian, 'Switching activity generation with automated BIST synthesis for performance testing of interconnects,' IEEE Trans. on Computer-Aided Design, vol. 11, No. 9, pp. 1143 - 1158, Sept. 2001
  10. W. Sirisaengtaksin and S. K. Gupta 'Enhanced crosstalk fault model and methodology to generate tests for arbitrary inter-core interconnect topology,' in Proc. IEEE Asian Test Symp., 2002, pp. 163 - 169
  11. P. Min et. al, 'Efficient Interconnect Test Patterns for Crosstalk and Static Faults', IEEE Trans. on Computer-Aided Design, vol. 25, No. 11, pp. 2605 - 2608, Nov. 2006 https://doi.org/10.1109/TCAD.2006.873899