DOI QR코드

DOI QR Code

Low-Power Bus Architecture Composition for AMBA AXI

  • Na, Sang-Kwon (Dep. EE., Korea Advanced Institutc of Science and Technology) ;
  • Yang, Sung (Systcm LSI Division of Samsung electronics) ;
  • Kyung, Chong-Min (Dep. EE., Korea Advanced Institutc of Science and Technology)
  • Published : 2009.06.30

Abstract

A system-on-a-chip communication architecture has a significant impact on the performance and power consumption of modern multi-processors system-on-chips (MPSoCs). However, customization of such architecture for a specific application requires the exploration of a large design space. Thus, system designers need tools to rapidly explore and evaluate communication architectures. In this paper we present the method for application-specific low-power bus architecture synthesis at system-level. Our paper has two contributions. First, we build a bus power model of AMBA AXI bus communication architecture. Second, we incorporate this power model into a low-power architecture exploration algorithm that enables system designers to rapidly explore the target bus architecture. The proposed exploration algorithm reduces power consumption by 20.1% compared to a maximally connected reduced matrix, and the area is also reduced by 20.2% compared to the maximally connected reduced matrix.

Keywords

References

  1. R.Ho, K.W.Mai, and M.A, "The Future of Wires", Proc. IEEE, vol. 89, April 2001 https://doi.org/10.1109/5.920580
  2. AMBA AHB Interconnection Matrix, www.synopsys.com/products/designware/amba_solutions.html
  3. S.Pasricha, N.Dutt, and M. Ben-Romdhane, "Constraint-Driven Bus Matrix Synthesis for MPSoC", ASPDAC 2006 https://doi.org/10.1109/ASPDAC.2006.1594641
  4. AMBA AXI Protocol v1.0 Specification. http://www.arm.com/products/solutions/AMBA3AXI.html
  5. ARM SoC Designer http://www.arm.com/products/DevTools/SoCDesigner.html
  6. M. Gasteier and M. Glesner, "Bus-based communication synthesis on system level," ACM TODAES 1999 https://doi.org/10.1145/298865.298866
  7. S. Pasricha, N. Dutt, and M. Ben-Romdhane, "Fast Exploration of Bus-based On-chip Communication Architectures," CODES+ISSS 2004 https://doi.org/10.1145/1016720.1016778
  8. S.Pasricha, N.Dutt, E.Bozorgzadeh, and M.Ben-Romdhane, "Floorplan-aware Automated Synthesis of Bus-based Communication Architectures," In Proc. of DAC 2005
  9. A. Pinto, L. Carloni, and A. Sangiovanni-Vincentelli, "Constraint driven communication synthesis," DAC 2002 https://doi.org/10.1145/513918.514114
  10. D. Lyonnard, S. Yoo, A. Baghdadi, and A. A. Jerraya, "Automatic generation of application-specific archi¬tectures for heterogeneous multiprocessor system-on-chip," DAC 2001 https://doi.org/10.1145/378239.379015
  11. K. Srinivasan, et al, "Linear Programming based Techniques for Synthesis of Network-on-Chip Architectures," ICCD 2004 https://doi.org/10.1109/ICCD.2004.1347957
  12. D. Bertozzi, et al., "NoC synthesis flow for customized domain specific multiprocessor systems-on-chip," IEEE TPDS 2005 https://doi.org/10.1109/TPDS.2005.22
  13. U. Ogras and R. Marculescu, "Energy- and Performance-Driven NoC Communication Architecture Synthesis using a Decomposition Approach," DATE 2005 https://doi.org/10.1109/DATE.2005.137
  14. A. Pinto, L. P. Carloni, and A. L. Sangiovanni-Vincentelli, "Efficient Synthesis of Networks On Chip," ICCD 2003 https://doi.org/10.1109/ICCD.2003.1240887
  15. A. Jalabert, S. Murali, L. Benini, and G. De Micheli, "xpipesCompiler: A Tool for instantiating appli-cation specific Networks on Chip," DATE 2004 https://doi.org/10.1109/DATE.2004.1268999
  16. O. Ogawa, et al, "A Practical Approach for Bus Architecture Optimization at Transaction Level," DATE 2003 https://doi.org/10.1109/DATE.2003.10237
  17. S. Murali and G. De Micheli, "An Application-Specific Design Methodology for STbus Crossbar Generation", DATE 2004 https://doi.org/10.1109/DATE.2005.50
  18. ARM AMBA Specification and Multi layer AHB Specification, (rev2.0), http://www.arm.com, 2001
  19. IBM On-chip CoreConnect Bus Architecture, www.chips.ibm.com/products/coreconnect/index.html
  20. Benini and G.D.Micheli, "Networks on Chips: A New SoC Paradigm", IEEE Computers, Jan. 2002 https://doi.org/10.1109/2.976921
  21. F. Angiolini, et al., "Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness", DATE 2006 https://doi.org/10.1109/DATE.2006.244033
  22. ARM documents - System-on-Chip, http://www.arm.com/documentation/SoC/index.html
  23. Synipsys Design Ware IP - AMBA solutions, http://www.synipsys.com/products/designware/amba\_solution.html
  24. SonicsMX datasheet, http://www.sonicsinc.com/documents/SMX\_Data\_Sheet.pdf

Cited by

  1. Topology Synthesis for Low Power Cascaded Crossbar Switches vol.29, pp.12, 2010, https://doi.org/10.1109/TCAD.2010.2072730
  2. Smart Bus Arbiter for QoS control in H.264 decoders vol.11, pp.1, 2011, https://doi.org/10.5573/JSTS.2011.11.1.033