DOI QR코드

DOI QR Code

플로어플랜 기법에 따른 3차원 멀티코어 프로세서의 성능, 전력효율성, 온도 분석

Analysis of Performance, Energy-efficiency and Temperature for 3D Multi-core Processors according to Floorplan Methods

  • 최홍준 (전남대학교 전자컴퓨터공학부) ;
  • 손동오 (전남대학교 전자컴퓨터공학부) ;
  • 김종면 (울산대학교 컴퓨터정보통신공학부) ;
  • 김철홍 (전남대학교 전자컴퓨터공학부)
  • 투고 : 2010.10.06
  • 심사 : 2010.06.28
  • 발행 : 2010.12.31

초록

공정기술 발달로 인해 칩 내부 집적도가 크게 증가하면서 내부 연결망이 멀티코어 프로세서의 성능 향상을 제약하는 주된 원인이 되고 있다. 내부 연결망에서의 지연시간으로 인한 프로세서 성능 저하 문제를 해결하기 위한 방안 중 하나로 3차원 적층 구조 설계 기법이 최신 멀티코어 프로세서를 설계하는데 있어서 큰 주목을 받고 있다. 3차원 적층 구조 멀티코어 프로세서는 코어들이 수직으로 쌓이고 각기 다른 층의 코어들은 TSV(Through-Silicon Via)를 통해 상호 연결되는 구성으로 설계된다. 2차원 구조 멀티코어 프로세서에 비해 3차원 적층 구조 멀티코어 프로세서는 내부 연결망의 길이를 감소시킴으로 인해 성능 향상과 전력소모 감소라는 장점을 가진다. 하지만, 이러한 장점에도 불구하고 3차원 적층 구조 설계 기술은 증가된 전력 밀도로 인해 발생하는 프로세서 내부 온도 상승에 대한 적절한 해결책이 마련되지 않는다면 실제로는 멀티코어 프로세서 설계에 적용되기 어렵다는 한계를 지니고 있다. 본 논문에서는 3차원 멀티코어 프로세서를 설계하는데 있어서 온도 상승 문제를 해결하기 위한 방안 중 하나인 플로어플랜 기법을 다양하게 적용해 보고, 기법 적용에 따른 프로세서의 성능, 전력효율성, 온도에 대한 상세한 분석 결과를 알아보고자 한다. 실험 결과에 따르면, 본 논문에서 제안하는 온도를 고려한 3가지 플로어플랜 기법들은 3차원 멀티코어 프로세서의 온도 상승 문제를 효과적으로 해결함과 동시에, 플로어플랜 변경으로 데이터 패스가 바뀌면서 성능이 저하될 것이라는 당초 예상과는 달리, 온도 하락으로 인해 동적 온도 제어 기법의 적용 시간이 줄어들면서 성능 또한 향상시킬 수 있음을 보여준다. 이와 함께, 온도 하락과 실행 시간 감소로 인해 시스템에서의 전력 소모 또한 줄일 수 있을 것으로 기대된다.

As the process technology scales down and integration densities continue to increase, interconnection has become one of the most important factors in performance of recent multi-core processors. Recently, to reduce the delay due to interconnection, 3D architecture has been adopted in designing multi-core processors. In 3D multi-core processors, multiple cores are stacked vertically and each core on different layers are connected by direct vertical TSVs(through-silicon vias). Compared to 2D multi-core architecture, 3D multi-core architecture reduces wire length significantly, leading to decreased interconnection delay and lower power consumption. Despite the benefits mentioned above, 3D design technique cannot be practical without proper solutions for hotspots due to high temperature. In this paper, we propose three floorplan schemes for reducing the peak temperature in 3D multi-core processors. According to our simulation results, the proposed floorplan schemes are expected to mitigate the thermal problems of 3D multi-core processors efficiently, resulting in improved reliability. Moreover, processor performance improves by reducing the performance degradation due to DTM techniques. Power consumption also can be reduced by decreased temperature and reduced execution time.

키워드

참고문헌

  1. R. Kalla, S. Balaram, and J. M. Tendler, “IBM Power5 cip: a dual-core multithreaded processor,” IEEE MICRO, Vol.24, Issue 2, pp.40-47, 2004. https://doi.org/10.1109/MM.2004.1289290
  2. P. Kongetira, K. Aingaran, and K. Olukotun, “Niagara: a 32-way multithreaded Sparc processor,” IEEE MICRO, Vol.25, Issue 2, pp.21-29, 2005. https://doi.org/10.1109/MM.2005.35
  3. M. B. Taylor, J. Psota, A. Saraf, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, A. Agarwal, W. Lee, J. Miller, D. Wentzlaff, I. Bratt, B. Greenwald, H. Hoffmann, P. Johnson, and J. Kim, “Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and Stremas,” In Proceedings of 31st annual International Symposium on Computer Architecture, pp.2-13, 2004. https://doi.org/10.1109/ISCA.2004.1310759
  4. K. Sankaralingam, R. Nagarajan, H. Liu, C. Kim, J. Huh, D. Burger, S. W. Keckler, and C. Moore, “Exploiting ILP, TLP, and DLP with the polymorphous trips architecture,” IEEE MICRO, Vol.23, Issue 6, pp.46-51, 2003. https://doi.org/10.1109/MM.2003.1261386
  5. V. Agarwal, M. S. Hrishikesh, S. W. Keckler, and D. Burger, “Clock rate versus IPC: the end of the road for conventional microarchitectures,” In Proceedings of the 27th International Symposium on Computer Architecture, pp.248-259, 2000. https://doi.org/10.1109/ISCA.2000.154905
  6. A. W. Topol, D. C. L. Tulipe, L. Shi, D. J. Frank, K. Bernstein, S. E. Steen, A. Kumar, G. U. Singco, A. M. Young, K. W. Guarini, and M. Ieong, “Three-dimensional integrated circuits,” IBM Journal of Research and Development, Vol.50, No.4/5, pp.491-506, 2006. https://doi.org/10.1147/rd.504.0491
  7. B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, “Die Stacking (3D) Microarchitecture,” In Proceedings of 39th International Symposium on Microarchitecture, pp.469-479, 2006. https://doi.org/10.1109/MICRO.2006.18
  8. S. W. Yoon, D. W. Yang, J. H. Koo, M. Padmanathan, and F. Carson, “3D TSV processes and its assembly/Packaging technology,” In Proceedings of IEEE International Conference on 3D System Integration, pp.1-5, 2009. https://doi.org/10.1109/3DIC.2009.5306535
  9. J. W. Joyner, and J. D. Meindl, “Opportunities for reduced power dissipation using three-dimensional integration,” In Proceedings of the IEEE 2002 international Interconnect Technology Conference, pp.148-150, 2002. https://doi.org/10.1109/IITC.2002.1014915
  10. B. Black, D. W. Nelson, C. Webb, and N. Samra, “3D Processing Technology and its Impact on IA32 Microprocessors,” In Proceedings of IEEE International Conference on Computer Design, pp.316-318, 2004. https://doi.org/10.1109/ICCD.2004.1347939
  11. K. W. Guarini, A. W. Topol, M. Ieong, R. Yu, L. Shi, M. R. Newport, D. J. Frank, D. V. Singh, G. M. Cohen, S. V. Nitta, D. C. Boyd, P. A. O'Neil, S. L. Tempest, H. B. Pogge, S. Purushothaman, and W. E. Haensch, “Electrical integrity of state-of-the-art 0.13 ${\mu}m$ SOI CMOS devices and circuits transferred for three-dimensional (3D) integrated circuit (IC) fabrication,” In Proceedings of the International Electron Devices Meeting, pp.943-945, 2005. https://doi.org/10.1109/IEDM.2002.1175992
  12. D. Nelson, C. Webb, D. McCauley, K. Raol, J. R. II, J. DeVale, and B. Black, “A 3D Interconnect Methodology Applied to iA32-class Architectures for Performance Improvements through RC Mitigation,” In Proceedings of the 21st International VLSI Multilevel Interconnection Conference, pp.78-83, 2004.
  13. P. Reed, G. Yeung, and B. Black, “Design Aspects of a Microprocessor Data Cache using 3D Die Interconnect Technology,” In Proceedings of the International Conference on Integrated Circuit Design and Technology, pp.15-18, 2005. https://doi.org/10.1109/ICICDT.2005.1502578
  14. K. Puttaswamy, and G. H. Loh, “Thermal Analysis of a 3D Die Stacked High Performance Microprocessor,” In Proceedings of ACM Great Lakes Symposium on VLSI, pp.19-24, 2006.
  15. L. Yeh, and R. Chy, “Thermal Management of Microelectronic Equipment,” American Society of Mechanical Engineering, 2001.
  16. R. Mahajan, “Thermal Management of CPUs: A Perspective on Trends, Needs, and Opportunities,” Invited talk given at the 8th International Workshop on THERMal INvestigations of ICs and Systems, 2002.
  17. S. Das, A. Chandrakasan, and R. Reif, “Timing, energy, and thermal performance of three-dimensional integrated circuits,” In Proceedings of ACM Great Lakes Symposium on VLSI, pp.338-343, 2004.
  18. J. Donald and M. Martonosi, “Techniques for multicore thermal management: Classification and new exploration,” In Proceedings of 33rd International Symposium on Computer Architecture, pp.78-88, 2006. https://doi.org/10.1109/ISCA.2006.39
  19. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, “Temperature-aware microarchitecture,” In Proceedings of 30th International Symposium on Computer Architecture, pp.2-13, 2003. https://doi.org/10.1109/ISCA.2003.1206984
  20. D. Brooks, and M. Martonosi, “Dynamic Thermal Management for High-Performance Microprocessors,” In Proceedings of High-Performance Computer Architecture, pp.171-182, 2001. https://doi.org/10.1109/HPCA.2001.903261
  21. J. Srinivasan, and S. V. Adve, “Predictive Dynamic Thermal Management for Multimedia Applications,” In Proceedings of International Continence Society, pp.109-120, 2003.
  22. S. Heo, K. Barr, and K. Asanovic, “Reducing Power Density through Activity Migration,” In Proceedings of international Symposium on Low Power Electronics and Design, pp.217-222, 2003. https://doi.org/10.1109/LPE.2003.1231865
  23. S. Chung and K. Skadron, “Using On-Chip Event Counters For High-Resolution, Real-Time Temperature Measurement,” In Proceedings of Thermal and Thermomechanical Phenomena in Electronics Systems, pp.114-120, 2006. https://doi.org/10.1109/ITHERM.2006.1645330
  24. K. Sankaranarayanan, S. Velusamy, M. Stan and K. Skadron, “A Case for Thermal-Aware Flooplanning at the Microacrchitecture Level,” Journal of Instruction-Level Parallelism, Vol.7, pp.1-16, 2005.
  25. SIA, “Technology Roadmap for Semiconductors,” 2005.
  26. P. Lindner, V. Dragoi, T. Glinsner, C. Schaefer, and R. Islam, “3D interconnect through aligned wafer level bonding,” In Proceedings of the Electronic Components and Technology Conference, pp.1439-1443, 2002. https://doi.org/10.1109/ECTC.2002.1008295
  27. P. Morrow, M. J. Kobrinsky, S. Ramanathan, C. M. Partk, M. Harmes, V. Ramachandrarao, H. M. Park, G. Kloster, S. List, and S. Kim, “Wafer-level 3D interconnects via Cu bonding,” In Proceedings of the 2004 Advanced Metalization Conference, pp.125-130, 2004.
  28. S. Reda, G. Smith, and L. Smith, “Maximizing the functional yield of wafer-to-wafer 3-D integration,” IEEE Transactions on Very Large Scale Lntegration (VLSI) Systems, Vol.17, No.9, pp.1357-1362, 2009. https://doi.org/10.1109/TVLSI.2008.2003513
  29. P. Leduca, F. de Crecy, B. Charlet, T. Enot, M. Zussy, B. Jones, J.-C. Barbe, N. Kernevez, N. Sillon, S. Maitrejean and D. Louisa, “Challenges for 3D IC integration: bonding quality and thermal management,” In Proceedings of IEEE Lnternational Lnterconnect Technology Conference, pp.210-212, 2007. https://doi.org/10.1109/IITC.2007.382392
  30. S. W. Yoon, D. W. Yang, J. H. Koo, M. Padmanathan and F. Carson, “3D TSV processes and its assembly/Packaging technology,” In Proceedings of IEEE International Conference on 3D System Integration, pp.1-5, 2009. https://doi.org/10.1109/3DIC.2009.5306535
  31. J. Cong, G. J. Luo, J. Wei and Y. Zhang, “Thermal-Aware 3D IC Placement Via Transformation,” In Proceedings of ASP-DAC (2007), pp.780-785, 2007. https://doi.org/10.1109/ASPDAC.2007.358084
  32. J. H. Choi, J. H. Kong, E. Y. Chung and S. W. Chung, “A Dual Integer Register File Structure for Temperature-Aware Microprocessor,” Journal of KIISE: Computer System and Theory, Vol.35, No.12, pp.540-551, 2008.
  33. R. E. Kessler, “The Alpha 21364 microprocessor,” IEEE MICRO, Vol.19, Issue 2, pp.24-36, 1996. https://doi.org/10.1109/40.755465
  34. D. Burger, T. M. Austin and S. Bennett, “Evaluating future microprocessors: the SimpleScalar tool set,” Technical Report TR-1308, University of Wisconsin-Madison Computer Sciences Department, 1997.
  35. W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan and S. Ghosh, “HotSpot: A Compact Thermal Modeling Method for CMOS VLSI Systems,” IEEE Transactions on VLSI Systems, 2006.
  36. J. L. Henning, “SPEC CPU2000: measuring cpu performance in the new millennium,” IEEE Computer, Vol.33, No.7, pp.28-35, 2000. https://doi.org/10.1109/2.869367
  37. D. Brooks, V. Tiwari and M. Martonosi, “Wattch: A Framework for Architectural-level Power Analysis and Optimizations,” In Proceedings of the 27th Annual International Symposium on Computer Architecture, pp.83-94, 2000. https://doi.org/10.1109/ISCA.2000.154890
  38. A. K. Coskun, A. B. Kahng, T. S. Rosing, “Temperatureand Cost-Aware Design of 3D Multiprocessor Architectures,” In Proceedings of 12th Euromicro Conference on Digital System Design and Architectures, Methods and Tools, pp.183-190, 2009. https://doi.org/10.1109/DSD.2009.233