DOI QR코드

DOI QR Code

Performance and Power Consumption Improvement of Embedded RISC Core

임베디드 RISC 코어의 성능 및 전력 개선

  • 정홍균 (한밭대학교 정보통신전문대학원 정보통신공학과) ;
  • 류광기 (한밭대학교 정보통신전문대학원 정보통신공학과)
  • Received : 2009.09.20
  • Accepted : 2009.10.12
  • Published : 2010.02.27

Abstract

This paper presents a branch prediction algorithm and a 4-way set-associative cache for performance improvement of embedded RISC core and a clock-gating algorithm using ODC (Observability Don't Care) operation to improve the power consumption of the core. The branch prediction algorithm has a structure using BTB(Branch Target Buffer) and 4-way set associative cache has lower miss rate than direct-mapped cache. Pseudo-LRU Policy, which is one of the Line Replacement Policies, is used for decreasing the number of bits that store LRU value. The clock gating algorithm reduces dynamic power consumption. As a result of estimation of performance and dynamic power, the performance of the OpenRISC core applied the proposed architecture is improved about 29% and dynamic power of the core using Chartered $0.18{\mu}m$ technology library is reduced by 16%.

본 논문에서는 임베디드 RISC 코어의 성능 및 전력 소모 개선을 위해 동적 분기예측 구조, 4원 집합연관 캐쉬 구조, ODC 연산을 이용한 클록 게이팅 기법을 제시한다. 동적 분기 예측 구조는 분기 명령에 대해 다음에 실행될 명령에 대한 예측 주소를 저장하는 BTB (Branch Target Buffer)를 사용한다. 4원 집합연관 캐쉬는 네 개의 메모리 블록을 한 개의 캐쉬 블록에 사상되는 구조로서 직접사상 캐쉬에 비해 접근 실패율이 낮고 라인 교체 방식으로 Pseudo-LRU 방식을 채택하여 LRU 정보를 저장하는 비트 수를 감소시킨다. ODC를 이용한 클록게이팅 기법은 논리합성 개념인 무관조건의 입출력 ODC 조건을 찾아 클록 게이팅 로직을 삽입함으로써 동적 소비전력을 줄인다. 제시한 구조들을 임베디드 RISC 코어인 OpenRISC 코어에 적용하여 성능을 측정한 결과, 기존 OpenRISC 코어 대비 실행시간이 약 29% 향상 되었고, Chartered $0.18{\mu}m$ 라이브러리를 이용하여 동적 전력을 측정한 결과, 기존 OpenRISC 코어 대비 소비전력이 16% 이상 감소하였다.

Keywords

References

  1. Hongkyun Jung and Kwangki Ryoo, "The Design of Cache Architecture in 32-bit RISC for the Performance Improvement," ITC-CSCC 2007, vol. 2, pp. 308-309, 2007
  2. 김형준, 강광명, 류광기, "OpenRISC 프로세서의 저전력 설계와 성능 개선," 제4회 국방정보 및 제어기술학술대회, pp. 79-81, 2008
  3. Pietro Babighian, Luca Benini and Enrico Macii, "A Scalable Algorithm for RTL Insertion of Gated Clocks Based on ODCs Computation," IEEE Trans. Computer Aided Design of Integrated Circuits and System, vol. 24, no. 1 ,pp.29-42, 2005 https://doi.org/10.1109/TCAD.2004.839489
  4. Damjan Lampret, OpenRISC1200 IP Core Specification Revision 0.7, 2001
  5. Damjan Lampret, OpenRISCI000 Architecture Manual, 2003
  6. 이종익, 손승일, 이문기, "캐쉬 메모리에서 True-LRU 알고리즘과 Pseudo-LRU 알고리즘의 성능 비교," 정보과학회논문지 제23권 제11호, pp. 1148-1160, 1996
  7. Nikitas Alexandridis, Design of Microprocessor based systems, Prentice Hall, 1993
  8. Lee, J.K.F. and Smith, A.J., "Branch Prediction Strategies and Branch Target Buffer Design," IEEE Computer Magazine, vol. 17, no. 1, pp. 6-22, Jan. 1984
  9. Christian Piguet, Low-Power CMOS Circuits, CRC Press, 2006

Cited by

  1. OpenRISC 프로세서를 위한 압축 명령어 집합 구조 vol.17, pp.10, 2012, https://doi.org/10.9708/jksci/2012.17.10.011