DOI QR코드

DOI QR Code

A Multi-mode LDPC Decoder for IEEE 802.16e Mobile WiMAX

  • Received : 2011.07.15
  • Published : 2012.03.31

Abstract

This paper describes a multi-mode LDPC decoder which supports 19 block lengths and 6 code rates of Quasi-Cyclic LDPC code for Mobile WiMAX system. To achieve an efficient implementation of 114 operation modes, some design optimizations are considered including block-serial layered decoding scheme, a memory reduction technique based on the min-sum decoding algorithm and a novel method for generating the cyclic shift values of parity check matrix. From fixed-point simulations, decoding performance and optimal hardware parameters are analyzed. The designed LDPC decoder is verified by FPGA implementation, and synthesized with a $0.18-{\mu}m$ CMOS cell library. It has 380,000 gates and 52,992 bits RAM, and the estimated throughput is about 164 ~ 222 Mbps at 56 MHz@1.8 V.

Keywords

References

  1. R.G. Gallager, Low-Density Parity-Check Codes. Cambridge, MA: MIT Press, 1963.
  2. D.J.C. MacKay and R.M. Neal, "Near Shannon limit performance of low density parity check codes," IEE Electronic Letters, Vol.32, No.18, pp.1645-1646, Aug., 1996. https://doi.org/10.1049/el:19961141
  3. Wireless LAN medium access control (MAC) and physical layer (PHY) specifications: enhancements for higher throughput, IEEE Std. P802.11 n/D7.0, 2008.
  4. IEEE 802.16e. Air interface for fixed and mobile broadband wireless access systems, IEEE P802.16e/D12 Draft, Oct., 2005.
  5. Digital Video Broadcasting (DVB-S2) Via Satellite [Online]. Available: http://www.dvb.org
  6. T. Mohsenin and B. Baas, "Trends and Challenges in LDPC Hardware Decoders," Forty-Third Asilomar Conf. on Signals, Systems and Computers, pp.1273-1277, 2009.
  7. S. Huang, B. Xiang, B. Huang, Y. Chen, and X. Zeng, "A Flexible Architecture for Multi-Standard LDPC Decoders," IEEE 8th Int. Conf. on ASIC (ASICON '09), pp.493-496, 2009.
  8. Y. Jung, Y. Jung, and J. Kim, "Design of Low Complexity and High Throughput Encoder for Structured LDPC Codes," Journal of the IEEK, Vol.46-SD, No. 10, pp.61-69, Oct., 2009.
  9. J.-Y. Park, S.-J. Lee, K.-S. Chung, S.-M. Cho, J.-S. Ha, and Y.-H. Song, "A Memory-efficient Partially Parallel LDPC Decoder for CMMB Standard," Journal of the IEEK, Vol.48-SD, No.1, pp.22-30, Jan., 2011.
  10. D.J.C. MacKay, "Good error-correcting codes based on very sparse matrices," IEEE Trans. Information Theory, Vol.45, No.3, pp.399-431, Mar., 1999. https://doi.org/10.1109/18.748992
  11. J. Chen, A. Dholakia, E. Eleftheriou, M.P.C. Fossorier, and X.Y. Hu, "Reduced-Complexity Decoding of LDPC Codes," IEEE Trans. on Communications, Vol.53, pp.1288-1299, Aug., 2005. https://doi.org/10.1109/TCOMM.2005.852852
  12. S.Y. Chung, T. Richardson, and R. Urbanke. "Analysis of sum-product decoding of low-density parity-check codes using a Gaussian approximation," IEEE Trans. on Information Theory, Vol.47, No.657-670, Feb., 2001. https://doi.org/10.1109/18.910580
  13. M.P.C. Fossorier, M. Mihaljevic and H. Imai, "Reduced complexity iterative decoding of lowdensity parity check codes," IEEE Trans. on Communications, Vol.47, pp.673-680, May, 1999. https://doi.org/10.1109/26.768759
  14. M.M. Mansour and N.R. Shanbhag, "Highthroughput LDPC decoders," IEEE Trans. on Very Large Scale Integration (VLSI) Systems, Vol.11, No.6, 976-996, Dec., 2003. https://doi.org/10.1109/TVLSI.2003.817545
  15. X.-Y. Shih, C.-Z. Zhan, C.-H. Lin, and A.-Y. Wu, "An 8.29 mm2 52 mW Multi-Mode LDPC Decoder Design for Mobile WiMAX System in 0.13 um CMOS Process," IEEE J. Solid-State Circuits, Vol.43, No.3, pp.672-683, Mar., 2008. https://doi.org/10.1109/JSSC.2008.916606
  16. K. Zhang, X. Huang, and Z. Wang, "High-Through-put Layered Decoder Implementation for Quasi-Cyclic LDPC Codes," IEEE J. Selected Areas in Communications, Vol.27, No.6, pp.985-994, Aug., 2009. https://doi.org/10.1109/JSAC.2009.090816
  17. C.-H. Liu, S.-W. Yen, C.-L. Chen, H.-C. Chang, C.-Y. Lee, Y.-S. Hsu, and S.-J. Jou, "An LDPC Decoder Chip Based on Self-Routing Network for IEEE 802.16e Applications," IEEE J. Solid-State Circuits, Vol.43, No.3, pp.684-694, Mar., 2008. https://doi.org/10.1109/JSSC.2007.916610
  18. S. Huang, D.B., B. Xiang, Y. Chen and X. Zeng, "A Flexible LDPC Decoder Architecture Supporting Two Decoding Algorithms," Proceedings of 2010 IEEE Int. Sym. on Circuits and Systems (ISCAS), pp.3929-3932, 2010
  19. J. Sha, Z. Wang, M. Gao and L. Li, "Multi-Gb/s LDPC Code Design and Implementation," IEEE Trans. on Very Large Scale Integration (VLSI) Systems, Vol.17, No.2, 262-268, Feb., 2009. https://doi.org/10.1109/TVLSI.2008.2002487

Cited by

  1. GPU-like on-chip system for decoding LDPC codes vol.13, pp.4, 2014, https://doi.org/10.1145/2538668