DOI QR코드

DOI QR Code

Quantifying Architectural Impact of Liquid Cooling for 3D Multi-Core Processors

  • Jang, Hyung-Beom (Department of Computer and Radio Communication Engineering, Korea University) ;
  • Yoon, Ik-Roh (Department of Mechanical Engineering, Hongik University) ;
  • Kim, Cheol-Hong (School of Electronics and Computer Engineering at Chonnam National University) ;
  • Shin, Seung-Won (Department of Mechanical Engineering, Hongik University) ;
  • Chung, Sung-Woo (Department of Computer and Radio Communication Engineering, Korea University)
  • Received : 2011.12.06
  • Published : 2012.09.30

Abstract

For future multi-core processors, 3D integration is regarded as one of the most promising techniques since it improves performance and reduces power consumption by decreasing global wire length. However, 3D integration causes serious thermal problems since the closer proximity of heat generating dies makes existing thermal hotspots more severe. Conventional air cooling schemes are not enough for 3D multi-core processors due to the limit of the heat dissipation capability. Without more efficient cooling methods such as liquid cooling, the performance of 3D multi-core processors should be degraded by dynamic thermal management. In this paper, we examine the architectural impact of cooling methods on the 3D multi-core processor to find potential benefits of liquid cooling. We first investigate the thermal behavior and compare the performance of two different cooling schemes. We also evaluate the leakage power consumption and lifetime reliability depending on the temperature in the 3D multi-core processor.

Keywords

References

  1. B.-G. Ahn, J. Kim, W. Li, and J.-W. Chong, "Effective Estimation Method of Routing Congestion at Floorplan Stage for 3D ICs", Semiconductor Technology and Science, Journal of, Vol. 11, No. 4, pp. 344-350, Dec., 2011. https://doi.org/10.5573/JSTS.2011.11.4.344
  2. S.-Y. Bang, K. Bang, S. Yoon, and E.-Y. Chung "Run-Time Adaptive Workload Estimation for Dynamic Voltage Scaling", Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, Vol. 28, No. 9, pp. 1334-1347, Sep., 2009. https://doi.org/10.1109/TCAD.2009.2024706
  3. B. Black, M. M. Annavaram, E. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCauley, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. P. Shen and C. Webb, "Die-Stacking (3D) Microarchitecture," Microarchitecture, 2006, MICRO 2006, 39th Annual IEEE/ACM International Symposium on, pp. 469-479, Dec., 2006.
  4. D. Brooks and M. Martonosi. "Dynamic Thermal Managementfor High-Performance Microprocessors," High Performance Computer Architecture, 2001, HPCA 2001, 7th International Symposium on, pp. 171-182, Jan., 2001.
  5. D. Brooks, V. Tiwari, and M. Martonoshi, "Wattch: A framework for architectural-level power analysis and optimizations," Computer Architecture, 2000, ISCA 2001, International Symposium on, pp. 83-94, Jun., 2000.
  6. T. Brunschwiler, B. Michel, H. Rothuizen, U. Kloter, B. Wunderle, H. Oppermann and H. Reichl, "Forced Convective Interlayer Cooling in Vertically Integrated Packages," Thermal and Thermomechanical Phenomena in Electronic Systems, 2008, ITHERM 2008, 11thIntersociety Conference on, pp. 1114-1125, May, 2008.
  7. T. Brunschwiler, B. Michel, H. Rothuizen, U. Kloter, B. Wunderle, H. Oppermann and H. Reichl, "Interlayer Cooling Potential in Vertically Integrated Packages," Microsystem Technologies, Vol. 15, No. 1, pp. 57-74, Jan., 2009. https://doi.org/10.1007/s00542-008-0690-4
  8. X. Y. Chen, K. C. Toh and J. C. Chai, "Direct Liquid Cooling of a Stacked Multichip Module," Electronics Packaging Technology Confonference, 2002, 4th, pp. 380-384, Dec., 2002.
  9. J. Cong, J. Wei and Y. Zhang, "A Thermal-Driven Floorplanning Algorithm for 3D ICs," Computer-Aided Design 2004, ICCAD 2004, IEEE/ACM International Conference on, pp. 306-313, Nov., 2004.
  10. J. Cong and Y. Zhang, "Thermal-Driven Multilevel Routing for 3D ICs," Design Automation Conference, 2005, ASP-DAC 2005, Asia and South Pacific, pp. 121-126, Jan., 2005.
  11. A. K. Coskun, T. S. Rosing, D. A. Alonso, J. Leblebici, and J. Ayala, "Dynamic Thermal Management in 3D Multicore Architectures," Design, Automation & Test in Europe Conference & Exhibition, 2009, DATE 2009, pp. 1410-1415, Apr., 2009.
  12. A. K. Coskun, J. L. Ayala, D. Atienza, and T. Simunic, "Modeling and Dynamic Management of 3D Multicore Systems with Liquid Cooling," Very Large Scale Integration (VLSI-SoC), 2009, 17th IFIP International Conference on, pp. 60-65, Oct., 2009.
  13. S. Das, A. Chandrakasan and R. Reif, "Timing, Energy and Thermal Performance of Three-Dimensional Integrated Circuits," VLSI, 2004, GLSVLSI 2004, 14th ACMGreat Lakes Symposium on, pp. 338-343, Apr., 2004.
  14. S. Das, A. Fan and K. -N. Chen, "Technology, Performance and Computer-Aided Design of Three-Dimensional Integrated Circuits," Physical Design, 2004, International Symposium on, pp. 108-115, Apr., 2004.
  15. B. Goplen and S. Sapatnekar, "Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach,"Computer-Aided Design 2003, ICCAD 2003, IEEE/ACM International Conference on, pp. 86-89, Nov., 2003.
  16. W.-L. Hung, G. M. Link, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, "Interconnect and Thermal-aware Floorplanning for 3D Microprocessors," Quality Electronic Design, 2006, ISQED 2006, 7th International Symposium on, pp. 98-104, Mar., 2006.
  17. S. Im and K. Banerjee, "Full Chip Thermal Analysis of Planar (2-D) and Vertically Integrated(3-D) High Performance ICs," Electrion Devices Meeting, 2000, IEDM Technical Digest, International, pp. 727-730, Dec., 2000.
  18. C. Isci and M. Martonosi, "Runtime Power Monitoring in High-End Processors: Methodology and Empirical data", Microarchitecture, 2003, MICRO 2003, 36th Annual IEEE/ACM International Symposium on, pp. 93-104, Dec., 2003.
  19. H. B. Jang, E. -Y. Chung, and S. W. Chung, "Adopting the Banked Register File Scheme for Better Performance and Less Leakage," Electronics and Telecommunications Research Institute Journal, Vol. 30, No. 4, pp. 624-626, Aug., 2008.
  20. H. B. Jang, I. Yoon, C. H. Kim, S. Shin, and S. W. Chung, "The Impact of Liquid Cooling on 3D Multi-Core Processors," Computer Design, 2009, ICCD 2009, IEEE International Conference on, pp. 472-478, Oct., 2009.
  21. J. Kong, J. John, E.-Y. Chung, S. W. Chung, and J. Hu, "On the Thermal Attack in Instruction Caches", Dependable and Secure Computing, IEEE Transactions on, Vol. 7, No. 2, pp. 217-223, Apr.-Jun., 2010. https://doi.org/10.1109/TDSC.2009.16
  22. J. Koo, S. Im, L. Jiang and K. Goodson, "Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures," Heat Transfer, Journal of, Vol. 127, No. 1, pp. 49-58, Jan., 2005. https://doi.org/10.1115/1.1839582
  23. J. S. Lee, K. Skadron and S. W. Chung, "Predicting Future Temperature for Temperature-Aware DVFS," Computers, IEEE Transactions on, Vol. 59, No. 1, pp. 127-133, Jan., 2010. https://doi.org/10.1109/TC.2009.136
  24. W. Liao, L. He and K. M. Lepak, "Temperature and Supply Voltage Aware Performance and Power Modeling at Microarchitecture," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, Vol. 24, No. 7, Jul., 2005.
  25. G. H. Loh, "3D-Stacked Memory Architectures for Multi-Core Processors," Computer Architecture, 2008, ISCA 2008, International Symposium on, pp. 453-464, Jun., 2008.
  26. G. H. Loh, "A modular 3d processor for flexible product design and technology migration," Computing Frontiers, 2008, CF 2008, 5th conference on, pp. 159-170, May, 2008.
  27. G. H. Loh, Y. Xie and B. Black, "Processor Design in 3D Die-Stacking Technologies," Micro, IEEE, Vol. 27, No. 3, May-Jun., 2007.
  28. G. L. Loi, B. Agarwal, N. Srivastava, S. -C. Lin and T. Sherwood, "A Thermally-Aware Performance Analysis of Vertically Integrated (3-D) Processor-Memory Hierarchy," Design Automation Conference, 2006, DAC 2006, 43rd ACM/IEEE, pp. 991-996, Jul., 2006.
  29. H. Mizunuma, C. Yang, and Y. Lu, "Thermal Modeling for 3D-ICs with Integrated Microchannel Cooling," Computer-Aided Design, 2009, ICCAD 2009, IEEE/ACM International Conference on, pp. 256-263, Nov., 2009.
  30. L. D. Paulson, "Onboard Cooler Keeps Chips Comfortable," Computer, Vol. 43, No. 2, pp. 15-18, Feb., 2010. https://doi.org/10.1109/MC.2010.46
  31. K. Puttaswamy and G. H. Loh, "Dynamic Instruction Schedulers in a 3-Dimensional Integration Technology," VLSI, 2006, GLSVLSI 2006, 16th ACM Great Lakes Symposium on, pp. 153-158, May, 2006.
  32. K. Puttaswamy and G. H. Loh, "Implemeting Caches in a 3D Technology for High Performance Processors," Computer Design, 2005, ICCD 2005, IEEE International Conference on, pp. 525-532, Oct., 2005.
  33. K. Puttaswamy and G. H. Loh, "The Impact of 3-Dimensional Integration on the Design of Arithmetic Units," Circuits and Systems, 2006, ISCAS 2006, IEEE International Symposium on, pp. 4951-4954, May, 2006.
  34. K. Puttaswamy and G. H. Loh, "Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors," High Performance Computer Architecture, 2007, HPCA 2007, 13thIEEE International Symposium on, pp. 193-204, Feb., 2007.
  35. P. Reed, G. Yeung, and B. Black, "Design Aspects of a Microprocessor Data Cache using 3D Die Interconnect Technology," Integrated Circuit Design and Technology, 2005, ICICDT 2005, International Conference on, pp. 15-18, May, 2005.
  36. R. Reif, A. Fan, K. -N. Chen and S. Das, "Fabrication Technologies for Three-Dimensional Integrated Circuits," Quality Electronic Design, 2002, International Symposium on, pp. 33-37, Mar., 2002.
  37. P. H. Shiu, R. Ravichandran, S. Easwar and S. K. Lim, "Multi-layer Floorplanning for Reliable System-on-Package," Circuits and Systems, 2004, ISCAS 2004, IEEE International Symposium on, pp V69-V72, May, 2004.
  38. K. Skadron, K. Sankaranarayanan, S. Veluasmy, D. Tarjan, M. R. Stan, and W. Huang, "Temperature-Aware Microarchitecture: Modeling and Implementation," Architecture and Code Optimization, ACM Transactions on, Vol. 1, No. 1, pp. 94-125, Mar., 2004. https://doi.org/10.1145/980152.980157
  39. J. Srinivasan, S. V. Adve, P. Bose, J. A. Rivers, "Exploiting Structural Duplication for Lifetime Reliability Enhancement," Computer Architecture, 2005, ISCA 2005, International Symposium on, pp. 520-531, Jun., 2005.
  40. J. Srinivasan, S. V. Adve, P. Bose, J. A. Rivers, "The Case for Lifetime Reliability-Aware Microprocessors," Computer Architecture, 2004, ISCA 2004, International Symposium on, pp. 276-287, Jun., 2004.
  41. C. Sun, L. Shang, and R. P. Dick, "Threedimensional multiprocessor system-on-chip thermal optimization," Hardware/software Codesign and System Synthesis, 2007, CODES+ISSS 2007, 5th International Conference on, pp. 117-122, Sep., 2007.
  42. Y.-F. Tsai, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, "Three-Dimensional Cache Design Exploration Using 3D Cacti," Computer Design, 2005, ICCD 2005, IEEE International Conference on, pp. 519-524, Oct., 2005.
  43. F. M. White, Fluid Mechanics, McGraw-Hill, pp. 184, 2002.
  44. E. Wong and S. Lim, "3D Floorplanning with Thermal Vias," Design, Automation & Test in Europe, 2006, DATE 2006, pp. 1-6, Mar., 2006.
  45. Y. Xie, G. H. Loh, B. Black and K. Bernstein, "Design Space Exploration for 3D Architecture," Emerging Technologies in Computing Systems, ACM Journal on, Vol. 2, No. 2, pp. 65-103, Apr., 2006. https://doi.org/10.1145/1148015.1148016
  46. Perfmon2 patch. Available: http://perfmon2.sourceforge.net. 2009.
  47. Intel Core 2 Duo Technical Documents. Available in http://www.intel.com/design/core2duo/documentation.html
  48. Linux Kernel CPUfreq subsystem. Available in http://www.kernel.org/pub/linux/utils/kernel/cpufreq/cpufreq.html.
  49. Notebook Hardware Control, personal edition. Available in http://www.pbus-167.com/.
  50. SPEC, Standard Performance Evaluation Corporation. Available: http://www.spec.org/cpu2000/. 2009.
  51. User's guide, Icepak, 4.4.6. ANSYS/Fluent Inc., Lebanon, NH, 2007.
  52. UC Berkeley Device Group, "Berkeley Predictive Technology Model (BPTM)," University California, Berkeley, CA, Jul., 2002.