DOI QR코드

DOI QR Code

위치 기반의 우선순위를 이용한 네트워크 온 칩에서의 디플렉션 라우팅

A Deflection Routing using Location Based Priority in Network-on-Chip

  • 남문식 (성균관대학교 정보통신대학) ;
  • 한태희 (성균관대학교 정보통신대학)
  • Nam, Moonsik (College of Information & Communication Engineering, Sungkyunkwan University) ;
  • Han, Tae Hee (College of Information & Communication Engineering, Sungkyunkwan University)
  • 투고 : 2013.08.12
  • 발행 : 2013.11.25

초록

네트워크 온 칩(Network on Chip)의 라우터에서 사용되는 입력버퍼는 온 칩 네트워크 플로우 컨트롤 및 가상채널 구성을 통해 네트워크의 성능을 좌우하는 중요한 요소이다. 하지만 네트워크 크기 증가에 따른 입력버퍼의 면적 및 전력 소모 증가 문제가 심화됨에 따라 입력버퍼를 제거한 버퍼리스 디플렉션(Bufferless Deflection) 라우팅 기법이 등장하였다. 그러나 버퍼리스 디플렉션 라우터는 통신량이 많은 네트워크에서 성능이 급격히 감소하기 때문에 이를 해결하기 위해 소량의 사이드 버퍼(side buffer)와 디플렉션 라우팅 기법을 결합하는 연구들이 등장하였다. 이러한 기법들은 전송시간 등에 의한 단순 우선순위에 따라 출력 포트에 할당할 데이터를 결정하는 방식을 사용함으로 인해 출력포트에서의 패킷 충돌이 빈번해져 네트워크의 성능을 제한한다. 본 논문에서는 데이터의 위치 정보를 이용한 변형된 디플렉션 라우팅 기법을 제안하고 이에 부합하는 라우터 구조를 제시하였다. 모의실험 결과 제안한 방식은 기존의 사이드 버퍼를 사용하는 디플렉션 라우터에 비해 3%의 면적이 증가하지만 처리량이 12% 향상되었다.

The input buffer in Network on Chip (NoC) router plays a key role in on-chip-network performance, which is utilized in flow control and virtual channel. However, increase in area and power due to input buffers as the network size gets larger is becoming severe. To solve this problem, a bufferless deflection routing without input buffer was suggested. Since the bufferless deflection routing shows poor performance at high network load, other approaches which combine the deflection routing with small size side buffers were also proposed. Nonetheless these new methods still show deficiencies caused by frequent path collisions. In this paper, we propose a modified deflection routing technique using a location based priority. In comparison with existing deflection routers, experimental results show improvement by 12% in throughput with only 3% increase in area.

키워드

참고문헌

  1. Thomas Moscibroda and Onur Mutlu, "A Case for Bufferless Routing in On-Chip Networks," in Proc. of the 36th annual international symposium on Computer architecture, Texas, USA, June, 2009.
  2. Chris Fallin, Chris Craik and Onur Mutlu, "CHIPPER: A Low-complexity Bufferless Deflection Router," in Proc. of IEEE 17th International Symposium on High Performance Computer Architecture (HPCA), San Antonio, USA, Feb. 2011.
  3. Konstantinidou, S. and Snyder, L. "Chaos router: architecture and performance," in Proc. of the 18th Annual International Symposium on Computer Architecture, USA, 1991.
  4. Jafri, S.A.R., Yu-Ju Hong, Thottethodi and Vijaykumar, T.N. "Adaptive Flow Control for Robust Performance and Energy," in Proc. of the 43rd Annual IEEE/ACM International Symposium onMicroarchitecture (MICRO), Atlanta, USA, Dec, 2010.
  5. Mitchell Hayenga, Natalie Enright Jerger and Mikko Lipasti, "SCARAB: a single cycle adaptive routing and bufferless network," in Proc. of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, NY, USA, Dec, 2009.
  6. Chris Fallin, Greg Nazario, Xiangyao Yu, Kevin Chang, Rachata Ausavarungnirun and Onur Mutlu, "MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect," in Proc. of the Sixth IEEE/ACM International Symposium on Networks on Chip (NoCS), Copenhagen, Denmark, May, 2012.
  7. Crisp'in G'omez Mar'ia E. G'omez Pedro L'opez, "BPS : A Bufferless Switching Technique for NoCs," in Proc. of Workshop on Interconnection Network Architectures, Valencia, Spain, Jan, 2008.
  8. Chaochao Feng, Jinwen Li, Zhonghai Lu, Jantsch, A. and Minxuan Zhang, "Evaluation of deflection routing on various NoC topologies," in Proc. of IEEE 9th International Conference on ASIC (ASICON), Xianmen, China, Oct, 2011.
  9. George Michelogiannakis, Daniel Sanchez, William J. Dally and Christos Kozyrakis, "Evaluating Bufferless Flow Control for On-Chip Networks," in Proc. of the 4th ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, May, 2010.
  10. Baran, "On distributed communications networks," IEEE Transactions on Communications Systems, Vol. 12, Issue 1, 1964.
  11. Yixuan Zhang, Morris, R., DiTomaso, D. and Kodi, A. "Energy-Efficient and Fault-Tolerant Unified Buffer and Bufferless Crossbar Architecture for NoCs," in Proc. of IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), Shanghai, China, May, 2012.
  12. Chaochao Feng, Zhonghai Lu, Jantsch, A., Minxuan Zhang and Zuocheng Xing, "Addressing Transient and Permanent Faults in NoC With Efficient Fault-Tolerant Deflection Router," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.21, Issue 6, June 2013.

피인용 문헌

  1. A load balancing bufferless deflection router for network-on-chip vol.37, pp.7, 2016, https://doi.org/10.1088/1674-4926/37/7/075002