DOI QR코드

DOI QR Code

3차원 낸드 플레쉬에서 타원형 GAA SONOS 셀의 프로그램과 삭제 특성 연구

Study of Program and Erase Characteristics for the Elliptic GAA SONOS Cell in 3D NAND Flash Memory

  • 최득성 (영남이공대학교 전자정보계열) ;
  • 이승희 (영남이공대학교 전자정보계열) ;
  • 박성계 (SK하이닉스(주) 메모리연구소)
  • 투고 : 2013.08.28
  • 발행 : 2013.11.25

초록

본 논문은 소노스(SONOS) 형태의 타원형 게이트 올 어라운드(GAA) 구조를 갖는 플레쉬 셀의 프로그램과 삭제 특성을 채널의 이심률 변화에 대해 연구 하였다. 타원형 GAA SONOS 셀의 쓰기와 삭제에 대한 해석적 모델을 제안하고 평가하였다. 점진적 계단형 펄스 프로그램(ISPP)시 타원의 이심률이 증가할수록 인가 전압에 대해 문턱전압이 비선형적으로 변화한다. 이는 2차원 소노스 구조나 원형 3차원 GAA 구조에서 선형적 특성을 보이는 것과는 매우 다른 모습이다. ISPP 특성에 대한 모사의 결과는 실험적 결과와 잘 부합됨을 발견할 수 있다.

Program and erase characteristics of the elliptic gate all around (e-GAA) SONOS cell have been studied as the variation of eccentricity of the channel. An analytic program and erase model for the elliptic GAA SONOS cell is proposed and evaluated. The model shows that the ISPP (incremental-step-pulse programming) property is changed non-linearly as the eccentricity of the e-GAA SONOS cell is increased. It is differently from the well known linear relationship for that of 2D SONOS and even 3D circular SONOS cell with program bias. We can find that the simulation results of ISPP characteristics are in accord with the experimental data.

키워드

참고문헌

  1. J.-D. Lee, S.-H. Hur and J.-D. Choi, "Effects of floating-gate interference on NAND Flash memory cell operation," IEEE Electron Device Lett., vol. 23, no. 5, pp. 264-266, May 2002. https://doi.org/10.1109/55.998871
  2. M. Park, K. Kim, J.-H. Park and J.-H. Choi, "Direct field effect of neighboring cell transistor on cell-to-cell interference of NAND Flash cell arrays," IEEE Electron Device Lett., vol. 30, no. 2, pp. 174-177, Feb. 2009. https://doi.org/10.1109/LED.2008.2009555
  3. T. Hara, et al., "A 146-mm2 8-Gb multi-level NAND flash memory with 70-nm CMOS technology," J. Solid-State circuits, IEEE, Vol. 41, no 1, pp. 161-169. Jan. 2006. https://doi.org/10.1109/JSSC.2005.859027
  4. H. Kurata, K. Otsuga, A. Kotabe, S. Kajiyama, T. Osabe, Y. Sasago, S. Narumi, K. Tokami, S. Kamohara, and O. Tsuchiya, "The impact of random telegraph signals on the scaling of multilevel Flash memories," in Proc. Symp. VLSI Circuits, pp. 112-113, 2006.
  5. C. Monzio Compagnoni, R. Gusmeroli, A. S. Spinelli, A. L. Lacaita, M. Bonanomi, and A. Visconti, "Statistical model for random telegraph noise in Flash memories," IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 388-395, Jan. 2008. https://doi.org/10.1109/TED.2007.910605
  6. A. Ghetti, C. Monzio Compagnoni, A. S. Spinelli, and A. Visconti, "Comprehensive analysis of random telegraph noise instability and its scaling in deca-nanometer Flash memories," IEEE Trans. Electron Devices, vol. 56, no. 8, pp. 1746-1752, Aug. 2009. https://doi.org/10.1109/TED.2009.2024031
  7. K. N. Kim, "Technology for sub-50nm DRAM and NAND Flash Manufacturing," in Proceeding of IEDM Technical Digest 2005 (Washington, USA, December 5-7, 2005), pp. 323-326.
  8. K.-T. Park, et al., "A zeroing cell-to-cell interference page architecture with temporary LSB storing and parallel MSB program scheme for MLC NAND flash Memories," J. Solid-State Circuits, IEEE, Vol. 43, no 4, pp. 919-928, Apr, 2008. https://doi.org/10.1109/JSSC.2008.917558
  9. J.-D. Lee, J.-H. Choi, D.-G. Park and K.-N. Kim, "Effects of interface trap generation and annihilation on the data retention characteristics of flash memory cells," Trans. Electron Device, IEEE, Vol. 4, no 1, pp. 110-117, Mar, 2004.
  10. D.-S. Choi and S.-K. Park "Mechanism of Threshold voltage widening in sub-30nm MLC NAND Flash cells after erase/write cycling," Journal of the Korean Physical Society, Vol. 59, No. 4, pp. 2821-2824, Oct. 2011. https://doi.org/10.3938/jkps.59.2821
  11. D.-S. Choi, S.-U. Choi and S.-K. Park "Study of data retention characteristics with surrounding cell's state in a MLC NAND Flash Memory," Journal of the Institute of Electronics Engineers of Korea, Vol. 50, No. 4, pp. 999-1005, Apr. 2013.
  12. C.-H. Lee, K.-I. Choi, M.-K. Cho, Y.-H. Song, K.-C. Park and K.-N. Kim, "A Novel SONOS Structure of Si$O_2/SiN/Al_2O_3$ with TaN metal gate for multi-giga bit flash memories," in Proceeding of IEDM Technical Digest 2003, pp. 26.5.1-26.5.4.
  13. Y. Wang and M.-H. White, "An analytical retention model for SONOS nonvolatile memory devices in the excess electron state," Solid-State Electronics, Vol. 49, pp. 97-107, Jan. 2005. https://doi.org/10.1016/j.sse.2004.06.009
  14. E.-S. Choi, H.-S. Yoo, K.-H. Park, S.-J. Kim, J.-R. Ahn, M.-S. Lee, Y.-O. Hong, S,-G, Kim, J.-C. Om, M.-S. Joo, S.-H. Pyi, S.-S. Lee, S.-K. Lee, G.-H. Bae, "Modeling and Characterization of Program / Erasure Speed and Retention of TiN-gate MANOS (Si-Oxide-$SiN_x-Al_2O_3$-Metal Gate) Cells for NAND Flash Memory," IEEE Non-volatile Semiconductor Memory Workshop, pp. 83-84, 2007.
  15. E.-S. Choi, S.-J. Kim, S.-O. Seo, H.-S. Yoo, K.-H. Park, S.-W. Jung, S.-Y. Lim, H.-S. Joo, G.-J. Kim, S.-B. Lee, S.-H. Oh, J.-C. Om, J.-H. Yi, S.-K. Lee, "Chip Level Reliability of MANOS Cells under Operating Conditions," IEEE International Memory Workshop, pp. 92-93, 2009.
  16. J.-D. Choi and K.-S. Seol, "3D approaches for non-volatile memory," VLSI Symp. Technical Digest, 2011, pp. 178-179
  17. Y.-H. Hsiao, H.-T. Lue, T.-H. Hsu, K.-Y. Hsieh and C.-Y. Lu, "A critical examination of 3D stackable NAND Flash memory architectures by simulation study of the scaling capability," IEEE International Memory Workshop, pp. 1-4, 2010.
  18. H. Tanaka, M. Kido, K. Yahashi, M. Oomura, R. Katsumata, M. Kito, Y. Fukuzumi, M. Sato, Y. Nagata, Y. Matsuoka, Y. Iwata, H. Aochi, and A. Nitayama, "Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory," VLSI Symp. Technical Digest, 2007, pp. 14-15
  19. J.-H. Jang, H.-S. Kim, W.-S. Cho, H.-S. Cho, J.-H. Kim, S.-I. Shim, Y.-G. Jang, J.-H. Jeong, B.-K. Son, D.-W. Kim, et al., "Vertical cell array using TCAT(Terabit Cell Array Transistor) technology for ultra high density NAND flash memory," VLSI Symp. Technical Digest, 2009, pp. 192-193
  20. J.-Y. Kim, A.-J. Hong, M.-K., Sung, Song, Emil B., J.-H. Park, J.-H. Han, S.-Y. Choi, D.-H. Jang, J.-T. Moon and K.-L. Wang, "Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost effective NAND Flash memory devices and SSD (Solid State Drive)," VLSI Symp. Technical Digest, 2009, pp. 186-187
  21. H.-T. Lue, T.-H. Hsu, Y.-H. Hsiao, et al., "A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device," VLSI Symp. Technical Digest, 2011, pp. 216-217
  22. E. Nowak, A. Hubert, L. Perniola, et al., "In-depth analysis of 3D Silicon nanowire SONOS memory characteristics by TCAD simulation," International Memory Workshop, 2010, pp. 116-119
  23. R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, et al., "Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices," VLSI Symp. Technical Digest, 2009, pp. 136-137