DOI QR코드

DOI QR Code

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

  • Lee, Sung-Joon (Department of Electrical and Computer Engineering and Interuniversity Semiconductor Research Center at Seoul National University) ;
  • Kim, Jaeha (Department of Electrical and Computer Engineering and Interuniversity Semiconductor Research Center at Seoul National University)
  • Received : 2014.05.12
  • Accepted : 2014.11.04
  • Published : 2014.12.30

Abstract

This paper describes a high-radix crossbar switch design with low latency and power dissipation for Network-on-Chip (NoC) applications. The reduction in latency and power is achieved by employing a folded-clos topology, implementing the switch organized as three stages of low-radix switches connected in cascade. In addition, to facilitate the uniform placement of wires among the sub-switch stages, this paper proposes a Mux-Matrix-Mux structure, which implements the first and third switch stages as multiplexer-based crossbars and the second stage as a matrix-type crossbar. The proposed 256-radix, 8-bit crossbar switch designed in a 65nm CMOS has the simulated power dissipation of 1.92-W and worst-case propagation delay of 0.991-ns while operating at 1.2-V supply and 500-MHz frequency. Compared with the state-of-the-art designs in literature, the proposed crossbar switch achieves the best energy-delay-area efficiency of $0.73-fJ/cycle{\cdot}ns{\cdot}{\lambda}^2$.

Keywords

References

  1. T. Wu, et al., "A 2Gb/s 256*256 CMOS Crossbar Switch Fabric Core Design using Pipelined MUX," in proc. International Symposium on Circuits and Systems (ISCAS), pp. 568-572, May 2002.
  2. K. Choi and W. Adams, "VLSI Implementation of a 256x256 Crossbar Interconnection Network," in proc. International Parallel Processing Symposium (IPPS), pp. 289-293, Mar. 1992.
  3. I. Shamim, "Energy Efficient Links and Routers for Multi-Processor Computer Systems," Master's Thesis, Massachusetts Institute of Technology, pp. 1-96, Sep. 2009.
  4. D. Song and J. Kim, "A Low-Power High-Radix Switch Fabric Based on Low-Swing Signaling and Partially-Activated Input Lines," in proc. VLSI Design, Automation, and Test (VLSI-DAT), pp. 1-4, Apr. 2013.
  5. J. Ryoo, et al., "Design of Low-Power High-Radix Switch Fabric with Partially-Activated Input and Output Lines," International SoC Design Conf. (ISOCC), pp. 227-230, Nov. 2012.
  6. G. Passas, et al., "Crossbar NoCs Are Scalable Beyond 100 Nodes," IEEE Trans. Computer-aided Design of Integrated Circuits and Systems, pp. 573-585, Apr. 2012.
  7. J. Ahn, et al., "Network within a Network Approach to Create a Scalable High-Radix Router Microarchitecture," in proc. High Performance Computer Architecture (HPCA), pp. 1-12, Feb. 2012.
  8. A. Agarwal, et al., "Survey of Network on Chip (NoC) Architectures & Contributions," Journal of Engineering, Computing and Architecture, pp. 21-27, 2009.
  9. F. Moraes, et al., "Estimation of layout densities for CMOS digital circuits," International Workshop on Power and Timing Modeling Optimization and Simulation (PATMOS), pp. 61-71, Oct. 1998.