DOI QR코드

DOI QR Code

Electrodeposition for the Fabrication of Copper Interconnection in Semiconductor Devices

반도체 소자용 구리 배선 형성을 위한 전해 도금

  • Kim, Myung Jun (School of Chemical and Biological Engineering, Institute of Chemical Process, Seoul National University) ;
  • Kim, Jae Jeong (School of Chemical and Biological Engineering, Institute of Chemical Process, Seoul National University)
  • 김명준 (서울대학교 화학생물공학부) ;
  • 김재정 (서울대학교 화학생물공학부)
  • Received : 2013.09.24
  • Accepted : 2013.10.22
  • Published : 2014.02.01

Abstract

Cu interconnection in electronic devices is fabricated via damascene process including Cu electrodeposition. In this review, Cu electrodeposition and superfilling for fabricating Cu interconnection are introduced. Superfilling results from the influences of organic additives in the electrolyte for Cu electrodeposition, and this is enabled by the local enhancement of Cu electrodeposition at the bottom of filling feature formed on the wafer through manipulating the surface coverage of organic additives. The dimension of metal interconnection has been constantly reduced to increase the integrity of electronic devices, and the width of interconnection reaches the range of few tens of nanometer. This size reduction raises the issues, which are the deterioration of electrical property and the reliability of Cu interconnection, and the difficulty of Cu superfilling. The various researches on the development of organic additives for the modification of Cu microstructure, the application of pulse and pulse-reverse electrodeposition, Cu-based alloy superfilling for improvement of reliability, and the enhancement of superfilling phenomenon to overcome the current problems are addressed in this review.

전자 소자의 구리 금속 배선은 전해 도금을 포함한 다마신 공정을 통해 형성한다. 본 총설에서는 배선 형성을 위한 구리 전해 도금 및 수퍼필링 메카니즘에 대해 다루고자 한다. 수퍼필링 기술은 전해 도금의 전해질에 포함된 유기 첨가제의 영향에 의한 결과이며, 이는 유기 첨가제의 표면 덮임율을 조절하여 웨이퍼 위에 형성된 패턴의 바닥 면에서의 전해 도금 속도를 선택적으로 높임으로써 가능하다. 소자의 집적도를 높이기 위해 금속 배선의 크기는 계속적으로 감소하여 현재 그 폭이 수십 nm 수준으로 줄어들었다. 이러한 배선 폭의 감소는 구리 배선의 전기적 특성 감소, 신뢰성의 저하, 그리고 수퍼필링의 어려움 등 여러 가지 문제를 야기하고 있다. 본 총설에서는 상기 기술한 문제점을 해결하기 위해 구리의 미세 구조 개선을 위한 첨가제의 개발, 펄스 및 펄스-리벌스 전해 도금의 적용, 고 신뢰성 배선 형성을 위한 구리 기반 합금의 수퍼필링, 그리고 수퍼필링 특성 향상에 관한 다양한 연구를 소개한다.

Keywords

References

  1. Bohr, M. T., "Interconnect Scaling - the Real Limiter to High Performance ULSI," Proc. IEEE IEDM Tech. Dig., 241-244(1995).
  2. Interconnect, ITRS (International Technology Roadmap for Semiconductors, on-line document), 2011 edition, International Technology for Semiconductors(2011).
  3. Andricacos, P. C., Uzoh, C., Dukovic, J. O., Horkans, J. and Deligianni, H., "Damascene Copper Electroplating for Chip Interconnections," IBM J. Res. Dev., 42, 567-574(1998). https://doi.org/10.1147/rd.425.0567
  4. Vereecken, P. M., Binstead, R. A., Deligianni, H., and Andricacos, P. C., "The Chemistry of Additives in Damascene Copper Plating," IBM J. Res. Dev., 49, 3-18(2005). https://doi.org/10.1147/rd.491.0003
  5. Kwon, O. J., Cho, S. K. and Kim, J. J., "Electrochemical Metallization Processes for Copper and Silver Metal Interconnection," Korean Chem. Eng. Res.(HWAHAK KONGHAK), 47, 141-149(2009).
  6. Kim, M. J., "The Influences of Pulse and Pulse-reverse Electrodeposition on the Properties of Cu Thin Films and Superfilling for the Fabrication of Cu Interconnection," Ph.D. Dissertation, Seoul National University, Seoul(2013).
  7. Namkoung, Y. M., Lee, H.-M., Son, Y.-S., Lee, K. and Kim, C.-K., "Characteristics of Electrodeposited CoWP Capping Layers Using Alkali-metal-free Precursors," Korean J. Chem. Eng., 27, 1596-1600(2010). https://doi.org/10.1007/s11814-010-0235-2
  8. Lee, H.-M., Chae, H. and Kim, C.-K., "Electroless Deposition of NiMoP Films Using Alkali-free Chemicals for Capping Layers of Copper Interconnections," Korean J. Chem. Eng., 29, 1259-1265 (2012). https://doi.org/10.1007/s11814-011-0301-4
  9. West, A. C., Mayer, S. and Reid, J., "A Superfilling Model that Predicts Bump Formation," Electrochem. Solid State Lett., 4, C50-C53(2001). https://doi.org/10.1149/1.1375856
  10. Kim, S.-K. and Kim, J. J., "Superfilling Evolution in Cu Electrodeposition; Dependence on the Aging Time of the Accelerator," Electrochem. Solid State Lett., 7, C98-C100(2004). https://doi.org/10.1149/1.1777552
  11. Kim, S.-K. Cho, S. K., Kim, J. J. and Lee, Y.-S., "Superconformal Cu Electrodeposition on Various Substrates," Electrochem. Solid State Lett., 8, C19-C21(2005). https://doi.org/10.1149/1.1833687
  12. Lu, J., Dreisinger, D. B. and Cooper, W. C., "Thermodynamics of the Aqueous Copper-cyanide System," Hydrometallurgy, 66, 23-36(2002). https://doi.org/10.1016/S0304-386X(02)00081-6
  13. Healy, J. P., Pletcher, D. and Goodenough, M., "The Chemistry of the Additives in An Acid Copper Electroplating Bath: Part II. The Instability 4,5 Dithiaoctane-1,8-disulphonic Acid in the Bath on Open Circuit," J. Electronal. Chem., 338, 167-177(1992). https://doi.org/10.1016/0022-0728(92)80421-Y
  14. Kang, M. and Gewirth, A. A., "Influence of Additives on Copper Electrodeposition on Physical Vapor Deposited (PVD) Copper Substrate," J. Electrochem. Soc., 150, C426-C434(2003). https://doi.org/10.1149/1.1572152
  15. Frank, A. and Bard, A. J., "The Decomppsition of the Sulfonate Additive Sulfopropyl Sulfonate in Acid Copper Electroplating Chemistries," J. Electrochem. Soc., 150, C244-C250(2003). https://doi.org/10.1149/1.1557081
  16. Huynh, T. M. T., Hai, N. T. M. and Broekmann, P., "Quasi-reversible Interaction of MPS and Chloride on Cu(100) Studied by in situ STM," J. Electrochem. Soc., 160, D3063-D3069(2013). https://doi.org/10.1149/2.012312jes
  17. Jin, Y., Sui, Y., Wen, L., Ye, F., Sun, M. and Wang, Q., "Competitive Adsorption of PEG and SPS on Copper Surface in Acidic Electrolyte Containing $Cl^-$," J. Electrochem. Soc., 160, D20-D27 (2013).
  18. Bozzini, B., D'Urzo, L., Romanello, V. and Mele, C., "Electrodeposition of Cu Film Acidic Sulfate Solutions in the Presence of bis-(3-sulfopropyl)-disulfide (SPS) and Chloride Ions," J. Electrochem. Soc., 153, C254-C257(2006). https://doi.org/10.1149/1.2172555
  19. Tan, M., Guymon, C., Wheeler, D. R. and Harb, J. N., "The Role of SPS, MPSA, and chloride in additive systems for copper electrodeposition", J. Electrochem. Soc., 154, D78-D81 (2007). https://doi.org/10.1149/1.2401057
  20. Taubert, C. E., Kolb, D. M., Memmert, U. and Meyer, H., "Adsorption of the Additives MPA, MPSA, and SPS onto Cu(111) from Sulfuric Acid Solutions," J. Electrochem. Soc., 154, D293-D299 (2007). https://doi.org/10.1149/1.2719611
  21. Liske, R., Wehner, S., Preusse, A., Kuecher, P. and Bartha, J. W., "Influence of Additive Coadsorption on Copper Superfill Behavior," J. Electrochem. Soc., 156, H955-H960(2009). https://doi.org/10.1149/1.3239995
  22. Cho, S. K., Kim, M. J., Koo, H.-C., Kim, S.-K. and Kim, J. J., "An Empirical Relation Between the Plating Process and Accelerator Coverage in Cu Superfilling," Bull. Korean Chem. Soc., 33, 1603-1607(2012). https://doi.org/10.5012/bkcs.2012.33.5.1603
  23. Wang, W. and Li, Y.-B., "Effect of Cl- on the Adsorption-desorption Behavior of PEG," J. Electrochem. Soc., 155, D263-D269 (2008). https://doi.org/10.1149/1.2834907
  24. Garrido, M. E. H. and Pritzker, M. D., "Inhibition of Copper Deposition by Polyethylene Glycol and Chloride II. Analysis and Application," J. Electrochem. Soc., 156, D175-D183(2009). https://doi.org/10.1149/1.3089271
  25. Dow, W.-P., Yen, M.-Y., Lin, W.-B. and Ho, S.-W., "Influence of Molecular Weight of Polyethylene Glycol on Microvia Filling by Copper Electroplating," J. Electrochem. Soc., 152, C769-C775 (2005). https://doi.org/10.1149/1.2052019
  26. Cho, S. K., "Superfilling and Leveling in Damascene Cu Electrodeposition for High Performance Semiconductor Devices," Ph.D. Dissertation, Seoul National University, Seoul(2013).
  27. Josell, D., Wheeler, D., Huber, W. H., Bonevich, J. E. and Moffat, T. P., "A Simple Equation for Predicting Superconformal Electrodeposition in Submicrometer Trenches," J. Electrochem. Soc., 148, C767-C773(2001). https://doi.org/10.1149/1.1414287
  28. Moffat, T. P., Wheeler, D., Kim, S.-K. and Josell, D., "Curvature Enhanced Adsorbate Coverage Model for Electrodeposition," J. Electrochem. Soc., 153, C127-C132(2006). https://doi.org/10.1149/1.2165580
  29. Josell, D., Moffat, T. P. and Wheeler, D., "Superfilling When Adsorbed Accelerators are Mobile," J. Electrochem. Soc., 154, D208-D214(2007). https://doi.org/10.1149/1.2434684
  30. Moffat, T. P., Wheeler, D. and Josell, D., "Electrodeposition of Copper in the SPS-PEG-Cl Additive System I. Kinetic Measurements: Influence of SPS," J. Electrochem. Soc., 151, C262-C271 (2004). https://doi.org/10.1149/1.1651530
  31. Willey, M. J. and West, A. C., "SPS Adsorption and Desorption During Copper Electrodeposition and Its Impact on PEG Adsorption," J. Electrochem. Soc., 154, D156-D162(2007).
  32. Baker, B. C., Freeman, M., Melnick, B., Wheeler, D., Josell, D. and Moffat, T. P., "Superconformal Electrodeposition of Silver from a KAg(CN)2-KCN-KSeCN Electrolyte," J. Electrochem. Soc., 150, C61-C66(2003). https://doi.org/10.1149/1.1531195
  33. Ahn, E. J. and Kim, J. J., "Additives for Superconformal Electroplating of Ag Thin Film for ULSIs," Electrochem. Solid State Lett., 7, C118-C120(2004). https://doi.org/10.1149/1.1793811
  34. Josell, D., Burkhard, C., Li, Y., Cheng, Y.-W., Keller, R. R., Witt, C. A., Kelley, D. R., Bonevich, J. E., Baker, B. C. and Moffat, T. P., "Electrical Properties of Superfilled Sub-micrometer Silver Metallizations," J. Appl. Phys., 96, 759-768(2004). https://doi.org/10.1063/1.1757655
  35. Josell, D., Beauchamp, C. R., Kelley, D. R., Witt, C. A. and Moffat, T. P., "Gold Superfill in Sub-micrometer Trenches," Electrochem. Solid State Lett., 8, C54-C57(2005). https://doi.org/10.1149/1.1854777
  36. Hu, Z. and Ritzdorf, T., "Superconformal Electrochemical Deposition of Gold for Metallization in Microelectronic Devices," J. Electrochem. Soc., 153, C467-C471(2006). https://doi.org/10.1149/1.2196670
  37. Josell, D. and Moffat, T. P., "Superfilling Damascene Trenches with Gold in a Sulfite Electrolyte," J. Electrochem. Soc., 160, D3009-D3014(2013). https://doi.org/10.1149/2.003312jes
  38. Kim, S.-K., Bonevich, J. E., Josell, D. and Moffat, T. P., "Electrodeposition of Ni in Submicrometer Trenches," J. Electrochem. Soc., 154, D443-D451(2007). https://doi.org/10.1149/1.2749188
  39. Lee, C. H., Bonevich, J. E., Bertocci, U., Steffens, K. L. and Moffat, T. P., "Superconformal Ni Electrodeposition Using 2-mercapto- Benzimidazole," J. Electrochem. Soc., 158, D366-D376(2011). https://doi.org/10.1149/1.3572055
  40. Interconnect, ITRS (International Technology Roadmap for Semiconductors, on-line document), 2007 edition, International Technology for Semiconductors(2007).
  41. Ohring, M., The Materials Science of Thin Films, 1st ed., Academic Press, Inc., San Diego(1992).
  42. Plombon, J. J., Andideh, E., Dubin, V. M. and Maiz, J., "Influence of Phonon, Geometry, Impurity, and Grain Size on Copper Line Resistivity," Appl. Phys. Lett., 89, 113124-1-113124-3(2006). https://doi.org/10.1063/1.2355435
  43. Henriquez, R., Cancino, S., Espinosa, A., Flores, M., Hoffmann, T., Kremer, G., Lisoni, J. G., Moraga, L., Morales, R., Oyarzun, S., Suarez, M. A., Zuniga, A. and Munoz, R. C., "Electron Grain Boundary Scattering and the Resistivity of Nanometric Metallic Structures," Phys. Rev. B, 82, 113409-1-113409-4(2010). https://doi.org/10.1103/PhysRevB.82.113409
  44. Josell, D., Brongersma, S. H. and Tokei, Z., "Size-dependent Resistivity in Nanoscale Interconnects," Annu. Rev. Mater. Res., 39, 231-254(2009). https://doi.org/10.1146/annurev-matsci-082908-145415
  45. Mayadas, A. F. and Shatzkes, M., "Electrical-resistivity Model for Polycrystalline Films: the Case of Arbitrary Reflection at External Surfaces," Phys. Rev. B, 1, 1382-1389(1970). https://doi.org/10.1103/PhysRevB.1.1382
  46. Rosenberg, R., Mayadas, A. F. and Gupta, D., "Grain Boundary Contributions to Transport," Surf. Sci., 31, 566-585(1972). https://doi.org/10.1016/0039-6028(72)90275-0
  47. Rossnagel, S. M. and Kuan, T. S., "Alteration of Cu Conductivity in the Size Effect Regime," J. Vac. Sci. Technol. B, 22, 240-247 (2004). https://doi.org/10.1116/1.1642639
  48. Paunovic, M. and Schlesinger, M., Fundamentals of Electrochemical Deposition, 2nd ed., John Wiley & Sons, Inc., New Jersey (2006).
  49. Cho, S. K., Kim, S.-K. and Kim, J. J., "Superconformal Cu Electrodeposition Using DPS; A Substitutive Accelerator for SPS," J. Electrochem. Soc., 152, C330-C333(2005). https://doi.org/10.1149/1.1891645
  50. Cho, S. K., Kim, M. J., Koo, H.-C., Kwon, O. J. and Kim, J. J., "Low-resistivity Cu Film Electrodeposited with 3-N,N-dimethylaminodithiocarbamoyl-1-propanesulfonate for the Application to the Interconnection of Electronic Devices," Thin Solid Films, 520, 2136-2141(2012). https://doi.org/10.1016/j.tsf.2011.09.015
  51. Manu, R. and Jayakrishnan, S., "Influence of Polymer Additive Molecular Weight on Surface and Microstructural Characteristics of Electrodeposited Copper," Bull. Mater. Sci., 34, 347-356(2011). https://doi.org/10.1007/s12034-011-0083-7
  52. Kim, M. J., Cho, S. K., Koo, H.-C., Lim, T., Park, K. J. and Kim, J. J., "Pulse Electrodeposition for Improving Electrical Properties of Cu Thin Film," J. Electrochem. Soc., 157, D564-D569(2010). https://doi.org/10.1149/1.3481564
  53. Kim, M. J., Lim, T., Park, K. J., Cho, S. K., Kim, S.-K. and Kim, J. J., "Characteristics of Pulse-reverse Electrodeposited Cu Thin Films: I. Effects of the Anodic Step in the Absence of An Organic Additive," J. Electrochem. Soc., 159, D538-D543(2012). https://doi.org/10.1149/2.045209jes
  54. Kim, M. J., Lim, T., Park, K. J., Kwon, O. J., Kim, S.-K. and Kim, J. J., "Characteristics of Pulse-reverse Electrodeposited Cu Thin Film: II. Effects of Organic Additives," J. Electrochem. Soc., 159, D544-D548(2012). https://doi.org/10.1149/2.046209jes
  55. Lloyd, J. R. and Clement, J. J., "Electromigration in Copper Conductors," Thin Solid Films, 262, 135-141(1995). https://doi.org/10.1016/0040-6090(94)05806-7
  56. Arnaud, L., Gonnella, R., Tartavel, G., Torres, J., Gounelle, C., Gobil, Y. and Morand, Y., "Electromigration Failure Modes in Damascene Copper Interconnects," Microelectron. Reliab., 38, 1029-1034(1998). https://doi.org/10.1016/S0026-2714(98)00122-X
  57. Hu, C.-K. and Harper, J. M. E., "Copper Interconnection and Reliability," Mater. Chem. and Phys., 52, 5-16(1998). https://doi.org/10.1016/S0254-0584(98)80000-X
  58. Arnaud, L., Tartavel, G., Berger, T., Mariolle, D., Gobli, Y. and Touet, I., "Microstructure and Electromigration in Copper Damascene Lines," Microelectron. Reliab., 40, 77-86(2000). https://doi.org/10.1016/S0026-2714(99)00209-7
  59. Tan, C. M. and Roy, A., "Electromigration in ULSI Interconnects," Mater. Sci. Eng. R, 58, 1-75(2007). https://doi.org/10.1016/j.mser.2007.04.002
  60. Barmak, K., Gungor, A., Rollett, A. D., Cabral Jr., C. and Harper, J. M. E., "Texture and Resistivity of Cu and Dilute Cu Alloy Films," Mat. Res. Soc. Symp. Proc., 721, 51-60(2002).
  61. Lee, W., Cho, H., Cho, B., Kim, J., Kim, Y.-S., Jung, W.-G., Kwon, H., Lee, J., Reucroft, P. J., Lee, C. and Lee, J., "Factors Affecting Passivation of Cu(Mg) Alloy Films," J. Electrochem. Soc., 147, 3066-3069(2000). https://doi.org/10.1149/1.1393855
  62. Zhao, B., Kim, H. and Shimogaki, Y., "Effects of Ag Addition on the Resistivity, Texture and Surface Morphology of Cu Metallization," Jpn. J. Appl. Phys., 41, L1278-L1281(2005).
  63. Zhao, B., Momose, T. and Shimogaki, Y., "Deposition of Cu-Ag Alloy Film by Supercritical Fluid Deposition," Jpn. J. Appl. Phys., 45, L1296-L1299(2006). https://doi.org/10.1143/JJAP.45.L1296
  64. Barmak, K., Cabral Jr., C., Rodbell, K. P. and Harper, J. M. E., "On the Use of Alloying Elements for Cu Interconnect Applications," J. Vac. Sci. Technol. B, 24, 2485-2498(2006). https://doi.org/10.1116/1.2357744
  65. Kim, M. J., Lee, H. J., Yong, S. H., Kwon, O. J., Kim, S.-K. and Kim, J. J., "Facile Formation of Cu-Ag Film by Electrodeposition for the Oxidation-resistive Metal Interconnect," J. Electrochem. Soc., 159, D253-D259(2012). https://doi.org/10.1149/2.104204jes
  66. Kim, M. J., Yong, S. H., Ko, H. S., Lim, T., Park, K. J., Kwon, O. J. and Kim, J. J., "Superfilling of Cu-Ag Using Electrodeposition in Cyanide-based Electrolyte," J. Electrochem. Soc., 159, D656-D658(2012). https://doi.org/10.1149/2.051211jes
  67. Kim, M. J., Park, K. J., Lim, T., Kwon, O. J. and Kim, J. J., "Fabrication of Cu-Ag Interconnection Using Electrodeposition: The Mechanism of Superfilling and the Properties of Cu-Ag Film," J. Electrochem. Soc., 160, D3126-D3133(2013). https://doi.org/10.1149/2.020312jes
  68. Volov, I., Swanson, E., O'Brien, B., Novak, S. W., Boom, R. V. D., Dunn, K. and West, A. C., "Pulse-plating of Copper-silver Alloys for Interconnect Applications," J. Electrochem. Soc., 159, D677- D683(2012). https://doi.org/10.1149/2.066211jes
  69. Reid, J., "Copper Electrodeposition: Principles and Recent Progress," Jpn. J. Apply. Phys., 40, 2650-2657(2001). https://doi.org/10.1143/JJAP.40.2650
  70. Gwllaway, J. W., Willey, M. J. and West, A. C., "Copper Filling of 100 nm Trenches Using PEG, PPG, and a Triblock Copolymer as Plating Suppressors," J. Electrochem. Soc., 156, D287-D295(2009). https://doi.org/10.1149/1.3142422
  71. Moffat, T. P., Wheeler, D., Huber, W. H. and Josell, D., "Superconformal Electrodeposition of Copper," Electrochem. Solid State Lett., 4, C26-C29(2001). https://doi.org/10.1149/1.1354496
  72. Kim, M. J., Lim, T., Park, K. J., Kim, S.-K. and Kim, J. J., "Pulse-reverse Electrodeposition of Cu for the Fabrication of Metal Interconnection I. Effects of Anodic Steps on the Competitive Adsorption of the Additives Used for Superfilling," J. Electrochem. Soc., 160, D3081(2013). https://doi.org/10.1149/2.015312jes
  73. Kim, M. J., Lim, T., Park, K. J., Kim, S.-K. and Kim, J. J., "Pulsereverse Electrodeposition of Cu for the Fabrication of Metal Interconnection II. Enhancement of Cu Superfilling and Leveling," J. Electrochem. Soc., 160, D3088(2013). https://doi.org/10.1149/2.016312jes
  74. Andryuschenko, T. and Reid, J., "Electroless and Electrolytic Seed Repair Effects on Damascene Feature Fill," Proc. Int. Interconnect Technol. Conf., 33-35(2001).
  75. Sukamto, J. H., Webb, E., Andryuschenko, T. and Reid, J., "An Evaluation of Electrolytic Repair of Discontinuous PVD Copper Seed Layers in Damascene Vias," J. Appl. Electrochem., 34, 283-290(2004). https://doi.org/10.1023/B:JACH.0000015617.07734.ee
  76. Cho, S. K., Lim, T., Lee, H.-K. and Kim, J. J., "A Study on Seed Damage in Plating Electrolyte and Its Repairing in Cu Damascene Metallization," J. Electrochem. Soc., 157, D187-D192(2010). https://doi.org/10.1149/1.3291985
  77. Choe, S., Kim, M. J., Kim, H. C., Lim, T., Park, K. J., Cho, S. K., Kim, S.-K. and Kim, J. J., "Seed Repair by Electrodeposition in Pyrophosphate Solution for Acid Cu Superfilling," J. Electrochem. Soc., 160, D202-D205(2013). https://doi.org/10.1149/2.028306jes
  78. Shacham-Diamand, Y. and Dubin, V. M., "Copper Electroless Deposition Technology for Ultra-large-scale-integration (ULSI) Metallization," Microelectron. Eng., 33, 47-58(1997). https://doi.org/10.1016/S0167-9317(96)00030-5
  79. Lee, C. H., Hwang, S., Kim, S.-C. and Kim, J. J., "Cu Electroless Deposition Onto Ta Substrates," Electrochem. Solid State Lett., 9, C157-C160(2006). https://doi.org/10.1149/1.2225726
  80. Josell, D., Wheeler, D., Witt, C. and Moffat, T. P., "Seedless Superfill: Copper Electrodeposition in Trenches with Ruthenium Barriers," Electrochem. Solid State Lett., 6, C143-C145(2003). https://doi.org/10.1149/1.1605271
  81. Zheng, M., Willey, M. and West, A. C., "Electrochemical Nucleation of Copper on Ruthenium," Electrochem. Solid State Lett., 8, C151(2005). https://doi.org/10.1149/1.2035701
  82. Moffat, T. P., Walker, M., Chen, P. J., Bonevich, J. E., Egelhoff, W. F., Richter, L., Witt, C., Aaltonen, T., Ritala, M., Leskela, M. and Josell, D., "Electrodeposition of Cu on Ru Barrier Layers for Damascene Processing," J. Electrochem. Soc., 153, C37-C50(2006). https://doi.org/10.1149/1.2131826
  83. Josell, D., Witt, C. and Moffat, T. P., "Osmium Barriers for Direct Copper Electrodeposition in Damascene Processing," Electrochem. Solid State Lett., 9, C41-C43(2006). https://doi.org/10.1149/1.2149214
  84. Josell, D., Bonevich, J. E., Moffat, T. P., Aaltonen, T., Ritala, M. and Leskela, M., "Iridium Barriers for Direct Copper Electrodeposition in Damascene Processing," Electrochem. Solid State Lett., 9, C48-C50(2006). https://doi.org/10.1149/1.2150165
  85. Cheon, T., Choi, S.-H., Kim, S.-H. and Kang, D.-H., "Atomic Layer Deposition of RuAlO Thin Films as a Diffusion Barrier for Seedless Cu Interconnects," Electrochem. Solid State Lett., 14, D57-D61(2011). https://doi.org/10.1149/1.3556980
  86. Hong, T. E., Cheon, T., Kim, S.-H., Kim, J.-K., Park, Y.-B., Kwon, O. J., Kim, M. J. and Kim, J. J., "Effects of $AlO_x$ Incorporation Into Atomic Layer Deposited Ru Thin Films: Applications to Cu Direct Plating Technology," J. Alloy. Compd., 580, 72-81(2013). https://doi.org/10.1016/j.jallcom.2013.05.035
  87. Kim, M. J., Kim, H. C., Kim, S.-H., Yeo, S., Kwon, O. J. and Kim, J. J., "Direct Electrodeposition of Cu on Ru-$Al_2O_3$ Layer," J. Electrochem. Soc., 160, D3057-D3062(2013). https://doi.org/10.1149/2.011312jes
  88. Xu, W.-Z., Xu, J.-B., Lu, H.-S., Wang, J.-X., Hu, Z.-J. and Qu, X.-P., "Direct Copper Plating on Ultra-thin Sputtered Cobalt Film in An Alkaline Bath," J. Electrochem. Soc., 160, D3075-D3080 (2013). https://doi.org/10.1149/2.013312jes
  89. Arunagiri, T. N., Zhang, Y., Chyan, O., El-Bouanani, M., Kim, M. J., Chen, K. H., Wu, C. T. and Chen, L. C., "5 nm Ruthenium Thin Film as a Directly Plateable Copper Diffusion Barrier," Appl. Phys. Lett., 86, 083104-1-083104-3(2005).

Cited by

  1. Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment vol.53, pp.4, 2015, https://doi.org/10.9713/kcer.2015.53.4.517
  2. Effects of Post-annealing and Temperature/Humidity Conditions on the Interfacial Adhesion Energies of ALD RuAlO Diffusion Barrier Layer for Cu Interconnects vol.23, pp.2, 2016, https://doi.org/10.6117/kmeps.2016.23.2.049
  3. Effects of Organic Additives on Grain Growth in Electrodeposited Cu Thin Film during Self-Annealing vol.164, pp.13, 2017, https://doi.org/10.1149/2.0481713jes
  4. Cu seed layer damage caused by insoluble anode in Cu electrodeposition vol.34, pp.5, 2017, https://doi.org/10.1007/s11814-017-0054-9
  5. Electrodeposition of Cu Films with Low Resistivity and Improved Hardness Using Additive Derivatization vol.161, pp.14, 2014, https://doi.org/10.1149/2.0271414jes
  6. Bottom-up Filling of through Silicon Vias Using Galvanostatic Cu Electrodeposition with the Modified Organic Additives vol.162, pp.3, 2015, https://doi.org/10.1149/2.0561503jes
  7. Electrochemical Behavior of Citric Acid and Its Influence on Cu Electrodeposition for Damascene Metallization vol.162, pp.8, 2015, https://doi.org/10.1149/2.0561508jes
  8. 구리 전해 도금을 이용한 실리콘 관통 비아 채움 공정 vol.54, pp.6, 2016, https://doi.org/10.9713/kcer.2016.54.6.723
  9. A Study on the Deposit Uniformity and Profile of Cu Electroplated in Miniaturized, Laboratory-Scale Through Mask Plating Cell for Printed Circuit Board (PCBs) Fabrication vol.54, pp.1, 2014, https://doi.org/10.9713/kcer.2016.54.1.108
  10. 반도체 구리 배선공정에서 표면 전처리가 이후 구리 전해/무전해 전착 박막에 미치는 영향 vol.20, pp.1, 2014, https://doi.org/10.5229/jkes.2017.20.1.1
  11. 무전해 구리도금 된 흑연 섬유의 발열 특성 vol.55, pp.2, 2017, https://doi.org/10.9713/kcer.2017.55.2.264
  12. Through Silicon Via Filling with Suppression Breakdown of PEG-Br- in Absence of Accelerator vol.168, pp.8, 2021, https://doi.org/10.1149/1945-7111/ac1eb8