DOI QR코드

DOI QR Code

Buffer Insertion-based HFNS for Efficient ASIC Implementation

효율적인 ASIC구현을 위한 버퍼 삽입 방식의 HFNS

  • Jang, Seok Woo (Department of Electronic Materials Engineering, Anyang University) ;
  • Kim, Dong-Wook (Department of Electronic Materials Engineering, Kwangwoon University) ;
  • Seo, Young-Ho (College of Liberal Arts, Kwangwoon University)
  • Received : 2013.11.08
  • Accepted : 2013.12.21
  • Published : 2014.02.28

Abstract

In this paper, we proposed a practical methodology of HFNS (high fanout net synthesis) to use buffer insertion which has been applied in the fields. First, we proposed consideration to execute HFNS and detail techniques for it. Next we proposed post-process method which is necessary to obtain success of HFNS. The buffer-insertion based method for HFNS is a kind of popular technique, but we targeted a practical and commercial aspect of HHNS.

본 논문에서는 HFNS(high fanout net synthesis) 기법들 중에서 실제 현장에서는 주로 사용되고 있는 버퍼 삽입 방법을 이용한 기법에 대해서 제안하였다. 먼저 HFNS를 수행하는데 있어서 고려해야할 사항들과 HFNS수행 방법들에 대해서 세부적인 기술들을 제안하였고, HFNS 수행 이후의 후처리 과정에서 수행해야할 기법들에 대해서도 제안하였다. 버퍼 삽입 기반의 HFNS는 이미 널리 사용되는 방법인데 본 논문은 주로 ASIC 및 SoC 상용 작업 현장에서 사용될 수 있는 실전적인 기법들을 대상으로 하였다.

Keywords

References

  1. Conistis, Furtner, & Islam, "Static Timing Analysis in Design Compiler and PrimeTime"; BSNUG 2000 Tutorial.
  2. J. Minz, X. Zhao, and S. K. Lim. buffered clock tree synthesis for 3d ics under thermal variations. In Proc. Asia and South Pacific Design Automation Conf., Jan 2008.
  3. T.-Y. Kim and T. Kim. Clock tree embedding for 3d ics. In Proc. Asia and South Pacific Design Automation Conf., Jan 2010.
  4. X. Zhao, D. Lewis, H.-H. S. Lee, and S. K. Lim. Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs. In Proc. Int. Conf. on Computer Aided Design, Nov 2009.
  5. F. Liu. A General Framwwork for Spatial Correlation Modeling in VLSI Design. In Proc. Design Automation Conf., Jun 2007.
  6. Design Compiler User's Guide.
  7. Synthesis-749.html, "Preventing Buffers on RESET Line", Solv-Net Article.
  8. Synthesis-835.html, "Reducing Runtime Impact of Highfanout Nets in DesignCompiler", Solv-Net Article
  9. Synthesis-780.html; "Commands for high fanout nets", Solv-Net Article.
  10. John Hennessy and David Patterson, Computer Architecture : A Quantitative Approach.
  11. Rick Furtner, "High Fanout Without High Stress: Synthesis and Optimization of High-fanout Nets Using Design Compiler," SNUG Boston 2001, 2000.11.
  12. Teng, Siong Kiong, Chye, Chuan Ning, Lim, Mui Liang, Yeap, Cheong Siak, "Advanced Clock Tree Design Implementation Using IC-Compiler CTS Tool," SNUG Singapore 2009.