Manufacturing SiNx Extreme Ultraviolet Pellicle with HF Wet Etching Process

HF 습식 식각을 이용한 극자외선 노광 기술용 SiNx

  • Kim, Ji Eun (Department of Convergence Nanoscience, Hanyang University) ;
  • Kim, Jung Hwan (Department of Materials Science and Engineering, Hanyang University) ;
  • Hong, Seongchul (Department of Materials Science and Engineering, Hanyang University) ;
  • Cho, HanKu (Institute of Nano Science and Technology, Hanyang University) ;
  • Ahn, Jinho (Department of Convergence Nanoscience, Hanyang University)
  • 김지은 (한양대학교 자연과학대학 나노융합과학과) ;
  • 김정환 (한양대학교 공과대학 신소재공학과) ;
  • 홍성철 (한양대학교 공과대학 신소재공학과) ;
  • 조한구 (한양대학교 나노과학기술연구소) ;
  • 안진호 (한양대학교 자연과학대학 나노융합과학과)
  • Received : 2015.08.18
  • Accepted : 2015.09.22
  • Published : 2015.09.30

Abstract

In order to protect the patterned mask from contamination during lithography process, pellicle has become a critical component for Extreme Ultraviolet (EUV) lithography technology. According to EUV pellicle requirements, the pellicle should have high EUV transmittance and robust mechanical property. In this study, silicon nitride, which is well-known for its remarkable mechanical property, was used as a pellicle membrane material to achieve high EUV transmittance. Since long silicon wet etching process time aggravates notching effect causing stress concentration on the edge or corner of etched structure, the remaining membrane is prone to fracture at the end of etch process. To overcome this notching effect and attain high transmittance, we began preparing a rather thick (200 nm) $SiN_x$ membrane which can be stably manufactured and was thinned into 43 nm thickness with HF wet etching process. The measured EUV transmittance shows similar values to the simulated result. Therefore, the result shows possibilities of HF thinning processes for $SiN_x$ EUV pellicle fabrication.

Keywords

References

  1. N. Okai, E. Lavigne, K. Hitomi, S. Halle, S. Hotta, S. Koshihara, J. Tanaka, and T. Bailey, "Methodology for determining CD-SEM measurement condition of sub-20nm resist patterns for 0.33NA EUV lithography," Proc. of SPIE, Vol. 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 94240H, 2015.
  2. B. Wu and A. Kumar, "Extreme ultraviolet lithography : A review," J. Vac. Sci. Technol. B, Vol. 25, No. 6, pp. 1743-1761, 2007. https://doi.org/10.1116/1.2794048
  3. C. Zoldesi, K. Bal, B. Blum, G. Bock, D. Brouns, F. Dhalluin, N. Dziomkina, J. Diego, A. Espinoza, J. de Hoogh, S. Houweling, M. Jansen, M. Kamali, A. Kempa, R. Kox, R. de Kruif, J. Lima, Y. Liu, H. Meijer, H. Meiling, I. van Mil, M. Reijnen, L. Scaccabarozzi, D. Smith, B. Verbrugge, L. de Winter, X. Xiong, and J. Zimmerman. "Progress on EUV pellicle development," Proc. of SPIE, Vol. 9048, Extreme Ultraviolet (EUV) Lithography V, 90481N, 2014.
  4. L. Scaccabarozzi, D. Smith, P. Rizo Diago, E. Casimiri, N. Dziomkina, and H. Meijer, "Investigation of EUV pellicle feasibility," Proc. of SPIE, Vol. 8679, Extreme Ultraviolet (EUV) Lithography IV, 867904, 2013.
  5. Y. A. Shroff, M. Leeson, and P. Yan, "High transmission pellicles for extreme ultraviolet lithography reticle protection," J. Vac. Sci. Technol. B, Vol. 28, No. 6, pp. C6E36-C6E41, 2010. https://doi.org/10.1116/1.3505126
  6. Y. A. Shroff, M. Goldstein, B. Rice, S. H. Lee, K. V. Ravi, and D. Tanzil, "EUV Pellicle Development for Mask Defect Control," Proc. of SPIE, Vol. 6151, Emerging Lithographic Technologies X, 615104, 2006.
  7. I. Skurai, T. Shirasaki, M. Kashida, and Y.Kubota, "Pellicle for ArF excimer laser photolithography," Photomask and X-ray Mask Technology 4, Proc. of SPIE, Vol. 3748, pp. 177-187, 1999.
  8. H. Lee, E. Kim, J. Kim, and H. OH, "Temperature Behavior of Pellicles in Extreme Ultraviolet Lithography," J. Korean Phys. Soc., Vol. 61, No. 7, pp. 1093-1096, 2012. https://doi.org/10.3938/jkps.61.1093
  9. F. L. Riley, "Silicon Nitride and Related Materials," J. Am. Ceram. Soc., Vol. 83, No. 2, pp 245-265, 2000. https://doi.org/10.1111/j.1151-2916.2000.tb01182.x
  10. G. Findler, J. Muchow, M. Koch, and H. Munzel, "Temporal evolution of silicon surface roughness during anisotropic etching processes," Proc. of Micro Electro Mechanical System '92 pp.62-66, 1992.
  11. The Center for X-ray Optics, Retrieved July, 2015, from http://www.cxro.lbl.gov
  12. KLA-Tencor, Retrieved July, 2015, from http://www.kla-tencor.com
  13. K. R. Williams, K. Gupta, and M. Wasilik, "Etch Rates for Micromachining Processing - Part 2," J. Microelectromech. S., Vol. 12, No. 6, pp. 761-778, 2003. https://doi.org/10.1109/JMEMS.2003.820936
  14. A. Witvrouw, B. Du Bois, P. De Moor, A. Verbist, C. Van Hoof, H. Bender, and K. Baert, "A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal," Proc. of SPIE, Vol. 4174, pp.130-141, 2000.
  15. D. M. Knotter and T.J.J. Denteneer, "Etching Mechanism of Silicon Nitride in HF-Based Solutions," J. Electrochem. Soc., Vol. 148, No. 3, pp. 43-46, 2001.
  16. J. U. Lee, S. Hong, J. Ahn, J. Doh, and S. Jeong., "Actinic critical dimension measurement of contaminated extreme ultraviolet mask using coherent scattering microscopy," J. Vac. Sci. Technol. B, Vol. 32, No. 3, 031601, 2014.