DOI QR코드

DOI QR Code

An Adaptive-Bandwidth Referenceless CDR with Small-area Coarse and Fine Frequency Detectors

  • Kwon, Hye-Jung (Pohang University of Science and Technology Dept. of Electrical Engineering) ;
  • Lim, Ji-Hoon (Pohang University of Science and Technology Dept. of Electrical Engineering) ;
  • Kim, Byungsub (Pohang University of Science and Technology Dept. of Electrical Engineering) ;
  • Sim, Jae-Yoon (Pohang University of Science and Technology Dept. of Electrical Engineering) ;
  • Park, Hong-June (Pohang University of Science and Technology Dept. of Electrical Engineering)
  • Received : 2015.03.05
  • Accepted : 2015.05.19
  • Published : 2015.06.30

Abstract

Small-area, low-power coarse and fine frequency detectors (FDs) are proposed for an adaptive bandwidth referenceless CDR with a wide range of input data rate. The coarse FD implemented with two flip-flops eliminates harmonic locking as long as the initial frequency of the CDR is lower than the target frequency. The fine FD samples the incoming input data by using half-rate four phase clocks, while the conventional rotational FD samples the full-rate clock signal by the incoming input data. The fine FD uses only a half number of flip-flops compared to the rotational FD by sharing the sampling and retiming circuitry with PLL. The proposed CDR chip in a 65-nm CMOS process satisfies the jitter tolerance specifications of both USB 3.0 and USB 3.1. The proposed CDR works in the range of input data rate; 2 Gb/s ~ 8 Gb/s at 1.2 V, 4 Gb/s ~ 11 Gb/s at 1.5 V. It consumes 26 mW at 5 Gb/s and 1.2 V, and 41 mW at 10 Gb/s and 1.5 V. The measured phase noise was -97.76 dBc/Hz at the 1 MHz frequency offset from the center frequency of 2.5 GHz. The measured rms jitter was 5.0 ps at 5 Gb/s and 4.5 ps at 10 Gb/s.

Keywords

References

  1. Pavan Kumar Hanumolu, Gu-Yeon Wei, and Un- Ku Moon, "A Wide-Tracking Range Clock and Data Recovery Circuit," IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 268-278, Feb. 2008.
  2. Arnoud P. van der Wel, and Gerrit W. den Besten, "A 1.2-6 Gb/s, 4.2 pJ/Bit Clock & Data Recovery Circuit With High Jitter Tolerance in 0.14 m CMOS," IEEE J. Solid-State Circuits, vol. 47, no. 7, pp.1768-1775, Jul. 2012. https://doi.org/10.1109/JSSC.2012.2191318
  3. Fan-Ta Chen, Min-Sheng Kao, Yu-Hao Hsu, Chih- Hsing Lin, Jen-Ming Wu, Ching-Te Chiu, Shuo- Hung Hsu, "A 10 to 11.5GHz Rotational Phase and Frequency Detector for Clock Recovery Circuit," Circuits and Systems (ISCAS), 2011 IEEE International Symposium on, pp.185-188, May 2011.
  4. Jri Lee and Ke-Chung Wu, "A 20-Gb/s Full-Rate Linear Clock and Data Recovery Circuit With Automatic Frequency Acquisition," IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3590-3602, Dec. 2009. https://doi.org/10.1109/JSSC.2009.2031042
  5. Namik Kocaman, Siavash Fallahi, Mahyar Kargar, Mehdi Khanpour, Ali Nazemi, Ullas Singh, and Afshin Momtaz, "An 8.5-11.5-Gbps SONET Transceiver With Referenceless Frequency Acquisition," IEEE J. Solid-State Circuits, vol. 48, no. 8, pp. 1875-1884, Aug. 2013. https://doi.org/10.1109/JSSC.2013.2259033
  6. Junyoung Song, Inhwa Jung, Minyoung Song, Young-Ho Kwak, Sewook Hwang, and Chulwoo Kim, "A 1.62 Gb/s-2.7 Gb/s Referenceless Transceiver for DisplayPort v1.1a With Weighted Phase and Frequency Detection", IEEE Trans. on Ciruits and System I: Regular papers. vol. 60, no. 2, pp. 268-278, Feb. 2013. https://doi.org/10.1109/TCSI.2012.2215779
  7. R.-J. Yang, S.-P. Chen, and S.-I. Liu, "A 3.125- Gb/s Clock and Data Recovery Circuit for the 10- Gbase-LX4 Ethernet," IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1356-1360, Aug. 2004. https://doi.org/10.1109/JSSC.2004.831809
  8. Rong-Jyi Yang, Student Member, IEEE, Kuan-Hua Chao, Sy-Chyuan Hwu, Chuan-Kang Liang, and Shen-Iuan Liu, Senior Member, IEEE, "A 155.52 Mbps-3.125 Gbps Continuous-Rate Clock and Data Recovery Circuit," IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1380-1390, Jun. 2006. https://doi.org/10.1109/JSSC.2006.874328
  9. M.-S. Hwang, S.-Y. Lee, J.-K. Kim, S. Kim, and D.-K. Jeong, "A 180-Mb/s to 3.2-Gb/s, continuousrate, fast-locking CDR without using external reference clock," in Proc. IEEE Asian Solid-State Circuits Conf., pp. 144-147, Nov. 2007.
  10. Rajesh Inti, Wenjing Yin, Amr Elshazly, Naga Sasidhar, and Pavan Kumar Hanumolu, "A 0.5-to- 2.5 Gb/s Reference-Less Half-Rate Digital CDR With Unlimited Frequency Acquisition Range and Improved Input Duty-Cycle Error Tolerance," IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3150-3162, Dec. 2011. https://doi.org/10.1109/JSSC.2011.2168872
  11. Jinho Han, Jaehyeok Yang, and Hyeon-Min Bae, Member, IEEE, "Analysis of a Frequency Acquisition Technique With a Stochastic Reference Clock Generator," IEEE Transactions on Circuits ans Systems-II: Express briefs, vol. 59, no. 6, pp. 336-340, Jun. 2012. https://doi.org/10.1109/TCSII.2012.2195059
  12. Jinho Han, Hyosup Won, and Hyeon-Min Bae, "0.6-2.7-Gb/s Referenceless Parallel CDR With a Stochastic Dispersion-Tolerant Frequency Acquisition Technique," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 6, Jun. 2014.
  13. Guanghua Shu, Woo-Seok Choi, Saurabh Saxena, Tejasvi Anand, Amr Elshazly, Pavan Kumar Hanumolu, "A 4-to-10.5Gb/s 2.2mW/Gb/s Continuous-Rate Digital CDR with Automatic Frequency Acquisition in 65nm CMOS," in IEEE ISSCC Dig. Tech. Papers, pp. 150-152, Feb. 2014.
  14. S.-K. Lee, Y.-S. Kim, H. Ha, Y. Seo, H.-J. Park, and J.-Y. Sim, "A 650Mb/s-to-8Gb/s referenceless CDR circuit with automatic acquisition of data rate," in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 184-185, Feb. 2009.
  15. Shao-Hung Lin and Shen-Iuan Liu, "Full-Rate Bang-Bang Phase/Frequency Detectors for Unilateral Continuous-Rate CDRs," IEEE Transactions on Circuits ans Systems-II: Express briefs, vol. 55, no. 12, Dec. 2008.
  16. Chang-Lin Hsieh and Shen-Iuan Liu, Fellow, IEEE, "A 1-16-Gb/s Wide-Range Clock/Data Recovery Circuit With a Bidirectional Frequency Detector," IEEE Transactions on Circuits ans Systems-II: Express briefs, vol. 58, no. 8, Aug. 2011.
  17. David G. Messerschmitt. "Frequency Detectors for PLL Acquisition in Timing and Carrier R.ecovery," IEEE Transactions on Communications, , vol. COM-27, no. 9, Sep. 1979.
  18. Razavi. B, "Frequency Detectors for PLL Acquisition in Timing and Carrier Recovery," Monolithic Phase-Locked Loops and Clock Recovery Circuits:Theory and Design 1996, pp.107-114.
  19. Amr Elshazly, Rajesh Inti, Wenjing Yin, Brian Young, and Pavan Kumar Hanumolu, "A 0.4-to-3 GHz Digital PLL With PVT Insensitive Supply Noise Cancellation Using Deterministic Background Calibration," IEEE J. Solid-State Circuits, vol. 46, no. 12, Dec. 2011.
  20. Mrunmay Talegaonkar, Rajesh Inti, and Pavan Kumar Hanumolu, "Digital Clock and Data Recovery Circuit Design: Challenges and Tradeoffs," Custom Integrated Circuits Conference (CICC), 2011 IEEE, 2011, pp. 1-8.
  21. Pyung-Su Han, Woo-Young Choi, " 1 Gb/s gatedoscillator burst mode CDR for half-rate clock recovery," IEEE J. Semiconductor Technology and Science, vol. 4, no. 4, Dec. 2004.
  22. Hyung-Joon Jeon, Raghavendra Kulkarni, Yung- Chung Lo, Jusung Kim, and Jose Silva-Martinez, "A Bang-Bang Clock and Data Recovery Using Mixed Mode Adaptive Loop Gain Strategy," IEEE J. Solid-State Circuits, vol. 48, no. 6, Jun. 2013.
  23. D. Dalton, K. Chai, E. Evans, M. Ferriss, D. Hitchcox, P. Murray, S. Selvanayagam, P. Shepherd, L. Devito, and S. Member, "A 12.5- Mb/s to 2.7-Gb/s continuous-rate CDR with automatic frequency acquisition and data-rate read back," Solid-State Circuits, IEEE J., vol. 40, no. 12, pp. 2713-2725, Dec. 2005. https://doi.org/10.1109/JSSC.2005.856577
  24. Heesoo Song, Deok-Soo Kim, Do-Hwan Oh, Suhwan Kim, and Deog-Kyoon Jeong, "A 1.0-4.0- Gb/s All-Digital CDR With 1.0-ps Period Resolution DCO and Adaptive Proportional Gain Control," IEEE J. Solid-State Circuits, vol. 46, no. 2, Feb. 2011.