DOI QR코드

DOI QR Code

Machine Learning Based Variation Modeling and Optimization for 3D ICs

  • Samal, Sandeep Kumar (School of Electrical and Computer Engineering, Georgia Institute of Technology) ;
  • Chen, Guoqing (Advanced Micro Devices) ;
  • Lim, Sung Kyu (School of Electrical and Computer Engineering, Georgia Institute of Technology)
  • Received : 2016.11.29
  • Accepted : 2016.12.07
  • Published : 2016.12.31

Abstract

Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations.

Keywords

References

  1. D. Fick, R. G. Dreslinski, B. Giridhar, G. Kim, S. Seo, M. Fojtik, et al., "Centip3De: a cluster-based NTC architecture with 64 ARM Cortex-M3 cores in 3D stacked 130 nm CMOS," IEEE Journal of Solid-State Circuits, vol. 48, no. 1, pp. 104-117, 2013. https://doi.org/10.1109/JSSC.2012.2222814
  2. D. H. Kim, K. Athikulwongse, M. Healy, M. Hossain, M. Jung, I. Khorosh, et al. "3D-MAPS: 3D massively parallel processor with stacked memory," in Proceedings of 2012 IEEE International Solid-State Circuits Conference, San Francisco, CA, pp. 188-190, 2012.
  3. K. Athikulwongse, M. Ekpanyapong, and S. K. Lim, "Exploiting die-to-die thermal coupling in 3-D IC placement," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 10, pp. 2145-2155, 2014. https://doi.org/10.1109/TVLSI.2013.2285593
  4. P. Falkenstern, Y. Xie, Y. W. Chang, and Y. Wang, "Threedimensional integrated circuits (3D IC) floorplan and power/ground network co-synthesis," in Proceedings of the 2010 15th Asia and South Pacific Design Automation Conference (ASPFig DAC), Taipei, Taiwan, pp. 169-174, 2010.
  5. D. C. Juan, S. Garg, and D. Marculescu, "Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions," in Proceedings of 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, pp. 541-544, 2013.
  6. S. Garg and D. Marculescu, "3D-GCP: an analytical model for the impact of process variations on the critical path delay distribution of 3D ICs," in Proceedings of 2009 10th International Symposium on Quality Electronic Design, San Jose, CA, pp. 147-155, 2009.
  7. A. B. Kahng, B. Lin, and K. Samadi, "Improved on-chip router analytical power and area modeling," in Proceedings of 2010 15th Asia and South Pacific Design Automation Conference (ASPDAC), Taipei, Taiwan, pp. 241-246, 2010.
  8. S. Walia, PrimeTime Advanced OCV Technology. Mountain View, CA: Synopsys Inc., 2009.
  9. K. Chae, X. Zhao, S. K. Lim, and S. Mukhopadhyay, "Tier adaptive body biasing: a post-silicon tuning method to minimize clock skew variations in 3-D ICs," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 3, no. 10, pp. 1720-1730, 2013. https://doi.org/10.1109/TCPMT.2013.2238581
  10. H. D. H. Qian, S. S. Sapatnekar, and K. Bazargan, "Fast and accurate statistical criticality computation under process variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 3, pp. 350-363, 2009. https://doi.org/10.1109/TCAD.2009.2013278
  11. D. C. Juan, S. Garg, and D. Marculescu, "Statistical thermal evaluation and mitigation techniques for 3D chip-multiprocessors in the presence of process variations," in Proceedings of 2011 Design, Automation Test in Europe, Grenoble, France, pp. 1-6, 2011.
  12. W. T. J. Chan, S. Nath, A. B. Kahng, Y. Du, and K. Samadi, "3DIC benefit estimation and implementation guidance from 2DIC implementation," in Proceedings of 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, pp. 1-6, 2015.
  13. J. H. Friedman, "Multivariate adaptive regression splines," Annals of Statistics, vol. 19, no. 1, pp. 1-67, 1991. https://doi.org/10.1214/aos/1176347963
  14. Salford Systems [Internet]. Available: http://www.salfordsystems.com/products/mars.
  15. D. H. Kim, K. Athikulwongse, and S. K. Lim, "Study of throughsilicon-via impact on the 3-D stacked IC layout," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 5, pp. 862-874, 2013. https://doi.org/10.1109/TVLSI.2012.2201760
  16. G. Katti, M. Stucchi, K. De Meyer, and W. Dehaene, "Electrical modeling and characterization of through silicon via for threedimensional ICs," IEEE Transactions on Electron Devices, vol. 57, no. 1, pp. 256-262, 2010. https://doi.org/10.1109/TED.2009.2034508