Manufacturing Large-scale SiNx EUV Pellicle with Water Bath

물중탕을 이용한 대면적 SiNx EUV 펠리클 제작

  • Kim, Jung Hwan (Department of Materials Science and Engineering, Hanyang University) ;
  • Hong, Seongchul (Department of Materials Science and Engineering, Hanyang University) ;
  • Cho, Hanku (Institute of Nano Science and Technology, Hanyang University) ;
  • Ahn, Jinho (Department of Materials Science and Engineering, Hanyang University)
  • 김정환 (한양대학교 신소재공학과) ;
  • 홍성철 (한양대학교 신소재공학과) ;
  • 조한구 (한양대학교 나노과학기술연구소) ;
  • 안진호 (한양대학교 신소재공학과)
  • Received : 2016.02.16
  • Accepted : 2016.03.23
  • Published : 2016.03.31

Abstract

EUV (Extreme Ultraviolet) pellicle which protects a mask from contamination became a critical issue for the application of EUV lithography to high-volume manufacturing. However, researches of EUV pellicle are still delayed due to no typical manufacturing methods for large-scale EUV pellicle. In this study, EUV pellicle membrane manufacturing method using not only KOH (potassium hydroxide) wet etching process but also a water bath was suggested for uniform etchant temperature distribution. KOH wet etching rates according to KOH solution concentration and solution temperature were confirmed and proper etch condition was selected. After KOH wet etching condition was set, $5cm{\times}5cm$ SiNx (silicon nitride) pellicle membrane with 80% EUV transmittance was successfully manufactured. Transmittance results showed the feasibility of wet etching method with water bath as a large-scale EUV pellicle manufacturing method.

Keywords

References

  1. N. Okai, E. Lavigne, K. Hitomi, S. Halle, S. Hotta, S. Koshihara, J. Tanaka, and T. Bailey, "Methodology for determining CD-SEM measurement condition of sub-20 nm resist patterns for 0.33NA EUV lithography", Proc. of SPIE, Vol. 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, (2015).
  2. B. Wu and A. Kumar, "Extreme ultraviolet lithography : A review", J. Vac. Sci. Technol. B, Vol. 25, No. 6, pp. 1743-1761, (2007). https://doi.org/10.1116/1.2794048
  3. C. Zoldesi, K. Bal, B. Blum, G. Bock, D. Brouns, F. Dhalluin, N. Dziomkina, J. Diego, A. Espinoza, J. de Hoogh, S. Houweling, M. Jansen, M. Kamali, A. Kempa, R. Kox, R. de Kruif, J. Lima, Y. Liu, H. Meijer, H. Meiling, I. van Mil, M. Reijnen, L. Scaccabarozzi, D. Smith, B. Verbrugge, L. de Winter, X. Xiong, and J. Zimmerman. "Progress on EUV pellicle development", Proc. of SPIE, Vol. 9048, Extreme Ultraviolet (EUV) Lithography V, (2014).
  4. L. Scaccabarozzi, D. Smith, P. Rizo Diago, E. Casimiri, N. Dziomkina, and H. Meijer, "Investigation of EUV pellicle feasibility", Proc. of SPIE, Vol. 8679, Extreme Ultraviolet (EUV) Lithography IV, (2013).
  5. Y. A. Shroff, M. Leeson, and P. Yan, "High transmission pellicles for extreme ultraviolet lithography reticle protection", J. Vac. Sci. Technol. B, Vol. 28, No. 6, pp. C6E36-C6E41, (2010).
  6. Y. A. Shroff, M. Goldstein, B. Rice, S. H. Lee, K. V. Ravi, and D. Tanzil, "EUV Pellicle Development for Mask Defect Control", Proc. of SPIE, Vol. 6151, Emerging Lithographic Technologies X, (2006).
  7. K. H. Ko, E. J. Kim, J. W. Kim, J. T. Park, C. M. Lim and H. K. Oh, "Effect of Extreme-ultraviolet Pellicle Support to Patterned Mask", Proc. of SPIE, Vol. 8322, The International Society for Optical Engineering, (2012).
  8. C. M. Waits, B. Morgan, M.Kastantin, R. Ghodssi, "Microfabrication of 3D silicon MEMS structures using gray-scale lithography and deep reactive ion etching, Sensor and Actuators A : Physical, Vol. 119, pp 245-253, (2005). https://doi.org/10.1016/S0924-4247(04)00193-1
  9. J. E. Kim. S. Hong, J. H. Kim, and J. Ahn, "Manufacturing SiNx EUV pellicle with HF wet etching process", Journal of KSDET, Vol 14, No. 3, pp. 7-11, (2015).
  10. D. S. Gianola, S. Van Petegem, M. Legros, S. Brandstetter, H. Van Swygenhoven, K. J. Hemker, "Stressassisted discontinuous grain growth and its effect on the deformation behavior of nanocrystalline aluminum thin films", Acta Materialia, Vol. 53., pp 2253- 2263, (2006)
  11. E. D. Palik, V. M. Bermudez, and O. J. Glembocki, ibid, 132, 871, (1985).
  12. M. M. Abu-Zeid, D. L. Kendall, G. R. de Guel, and R. Galeazzi, Abstract 275, p.400, The Electrochemical Society Extended Abstracts, Vol. 85-1 (1985).
  13. J. U. Lee, S. Hong, J. Ahn, J. Doh, and S. Jeong., "Actinic critical dimension measurement of contaminated extreme ultraviolet mask using coherent scattering microscopy", J. Vac. Sci. Technol. B, Vol. 32, No. 3, (2014).
  14. P. Danckwerts, "Gas-absorption accompanied by first-order reaction : Concentration of product, temperature- rise and depletion of reactant", Chemical Engineering Science. Vol. 22, Issue. 3 (1967).
  15. H. Seidael, L. Csepregi, A. Heuberger, H. Baumgartel, "Anisotropic Etching of Crystalline Silicon in Alkaline Solution", J. Electrochem.Soc, Vol. 137, No. 11, (1990).
  16. Irena Zubel, Malgorzata Kramkowska, "The effect of isopropyl alcohol on etching rate and roughness of (100) Si surface etched in KOH and TMAH solutions", Sensors and Actuators A , Vol. 93, pp 138-147, (2001) https://doi.org/10.1016/S0924-4247(01)00648-3