Evaluation on the Relationship between Mask Imaging Performance and Standoff Distance of EUV Pellicle

EUV pellicle의 standoff 거리에 따른 이미지 전사 특성 평가

  • Woo, Dong Gon (Department of Materials Science and Engineering, Hanyang University) ;
  • Hong, Seongchul (Department of Materials Science and Engineering, Hanyang University) ;
  • Kim, Jung Sik (Department of Nanoscale Semiconductor Engineering, Hanyang University) ;
  • Cho, Hanku (Institute of Nano Science and Technology) ;
  • Ahn, Jinho (Department of Materials Science and Engineering, Hanyang University)
  • 우동곤 (한양대학교 공과대학 신소재공학과) ;
  • 홍성철 (한양대학교 공과대학 신소재공학과) ;
  • 김정식 (한양대학교 공과대학 나노 반도체 공학과) ;
  • 조한구 (나노 과학 기술연구소) ;
  • 안진호 (한양대학교 공과대학 신소재공학과)
  • Received : 2016.02.16
  • Accepted : 2016.03.23
  • Published : 2016.03.31

Abstract

Extreme ultraviolet (EUV) pellicle is one of the most concerned research in the field of EUV lithography (EUVL). Imaging performance of EUV mask with pellicle should be investigated prior to high volume manufacturing (HVM) of EUVL. In this paper, we analyzed the relationship between standoff distance and imaging performance of EUV mask to verify the influences of relative standoff distance on imaging performance. As a result, standoff distance of EUV pellicle has no effect on imaging performance of EUV mask such as critical dimension (CD), normalized image log slope (NILS) and image contrast. Therefore, pellicle support structure can be flexibly designed and modified in diverse ways to complement the thermal limitation of EUV pellicle membrane.

Keywords

References

  1. ITRS organization, "International technology roadmap for semiconductors 2014 edition: Lithography summary", 2014, from http://www.itrs2.net
  2. Z. J. Qi, J. Rankin, E. Narita and M. Kagawa, "Viability of pattern shift for defect-free EUV photomasks at the 7 nm node", Proc. of SPIE 9635, 96350N, 2015.
  3. E.V. Setten, G. Schiffelers, E. Psara, D. Oorschot, N. Davydova, J. Finders, "Imaging performance and challenges of 10 nm and 7 nm logic nodes with 0.33 NA EUV", Proc. of SPIE 9231, 923108, 2014.
  4. Y. A. Shroff, M. Goldstein, B. Rice, S. H. Lee, K. V. Ravi, and D. Tanzil, "EUV Pellicle Development for Mask Defect Control", Proc. of SPIE 6151, Emerging Lithographic Technologies X, 615104, 2006.
  5. C. Zoldesi, K. Bal, B. Blum, G. Bock, D. Brouns, F. Dhalluin, N. Dziomkina, J. D. A. Espinoza, J. D. Hoogh, S. Houweling, M. Jansen, M. Kamali, A. Kempa, R. Kox, R. Kruif, J. Lima, Y. Liu, H. Meijer, H. Meiling, I. Mil, M. Reijnen, L. Scaccabarozzi, D. Smith, B. Verbrugge, L. de Winter, X. Xiong, and J. Zimmerman. "Progress on EUV pellicle development", Proc. of SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 90481N, 2014.
  6. L. Scaccabarozzi, D. Smith, P. R. Diago, E. Casimiri, N. Dziomkina, and H. Meijer, "Investigation of EUV pellicle feasibility", Proc. of SPIE 8679, Extreme Ultraviolet (EUV) Lithography IV, 867904, 2013.
  7. Y. A. Shroff, M. Leeson, and P. Y. Yan, "High transmission pellicles for extreme ultraviolet lithography reticle protection", Journal of Vacuum Science & Technology B, Vol. 28, No. 6, pp. C6E36-C6E41, 2010.
  8. K. A. Goldberg and I. Mochi, "Actinic characterization of extreme ultraviolet bump-type phase defects", Journal of Vacuum Science & Technology B, Vol. 29, No. 6, 06F502, 2011. https://doi.org/10.1116/1.3653257
  9. J. R. Fienup, "Phase retrieval algorithms: a comparison", APPLIED OPTICS, Vol. 21, No.15, pp. 2758-2769, 1982. https://doi.org/10.1364/AO.21.002758
  10. J. E. Kim. S. Hong, J. H. Kim, and J. Ahn, "Manufacturing SiNx EUV pellicle with HF wet etching process", Journal of KSDET, Vol 14, No. 3, pp. 7-11, 2015.
  11. J. Miao, D. Sayre, H. N. Chapman, "Phase retrieval from the magnitude of the Fourier transforms of nonperiodic objects", Journal of Optical Society of America, Vol. 15, No.6, pp. 1662-1669, 1998. https://doi.org/10.1364/JOSAA.15.001662
  12. D. Hellweg, J. Ruoff, A. Herkommer, J. Stuhler, T. Ihl, H. Feldmann, M. Ringel, U. Strobner, S. Perlitz and W. Harnisch, "$AIMS^{TM}$ - the actinic aerial image review platform for EUV masks", Proc. of SPIE, 7969, 79690H, 2011.
  13. H. S. Seo, D. G. Lee, H. Kim, S. Huh and B. S. Ahn, "Effects of mask absorber structures on the extreme ultraviolet lithography", Journal of Vacuum Science & Technology B, Vol. 26, No. 6, pp. 2208-2214, 2008. https://doi.org/10.1116/1.3002488