DOI QR코드

DOI QR Code

Effect of Ta/Cu Film Stack Structures on the Interfacial Adhesion Energy for Advanced Interconnects

미세 배선 적용을 위한 Ta/Cu 적층 구조에 따른 계면접착에너지 평가 및 분석

  • Son, Kirak (School of Materials Science and Engineering, Andong National University) ;
  • Kim, Sungtae (Department of Materials Science and Engineering, Seoul National University) ;
  • Kim, Cheol (Department of Materials Science and Engineering, Seoul National University) ;
  • Kim, Gahui (School of Materials Science and Engineering, Andong National University) ;
  • Joo, Young-Chang (Department of Materials Science and Engineering, Seoul National University) ;
  • Park, Young-Bae (School of Materials Science and Engineering, Andong National University)
  • 손기락 (안동대학교 신소재공학부 청정에너지소재기술연구센터) ;
  • 김성태 (서울대학교 재료공학부) ;
  • 김철 (서울대학교 재료공학부) ;
  • 김가희 (안동대학교 신소재공학부 청정에너지소재기술연구센터) ;
  • 주영창 (서울대학교 재료공학부) ;
  • 박영배 (안동대학교 신소재공학부 청정에너지소재기술연구센터)
  • Received : 2020.10.28
  • Accepted : 2021.03.24
  • Published : 2021.03.30

Abstract

The quantitative measurement of interfacial adhesion energy (Gc) of multilayer thin films for Cu interconnects was investigated using a double cantilever beam (DCB) and 4-point bending (4-PB) test. In the case of a sample with Ta diffusion barrier applied, all Gc values measured by the DCB and 4-PB tests were higher than 5 J/㎡, which is the minimum criterion for Cu/low-k integration without delamination. However, in the case of the Ta/Cu sample, measured Gc value of the DCB test was lower than 5 J/㎡. All Gc values measured by the 4-PB test were higher than those of the DCB test. Measured Gc values increase with increasing phase angle, that is, 4-PB test higher than DCB test due to increasing plastic energy dissipation and roughness-related shielding effects, which matches well interfacial fracture mechanics theory. As a result of the 4-PB test, Ta/Cu and Cu/Ta interfaces measured Gc values were higher than 5 J/㎡, suggesting that Ta is considered to be applicable as a diffusion barrier and a capping layer for Cu interconnects. The 4-PB test method is recommended for quantitative adhesion energy measurement of the Cu interconnect interface because the thermal stress due to the difference in coefficient of thermal expansion and the delamination due to chemical mechanical polishing have a large effect of the mixing mode including shear stress.

Cu 배선(interconnect) 적용을 위한 다층박막의 적층 구조에 따른 최적 계면접착에너지(interfacial adhesion energy, Gc) 평가방법을 도출하기 위해, Ta, Cu 및 tetraethyl orthosilicate(TEOS-SiO2) 박막 계면의 정량적 계면접착에너지를 double cantilever beam(DCB) 및 4-점 굽힘(4-point bending, 4-PB) 시험법을 통해 비교 평가하였다. 평가결과, Ta확산방지층이 적용된 시편(Cu/Ta, Cu/Ta/TEOS-SiO2)에서는 두 가지 평가방법 모두 반도체 전/후 공정에서 박리가 발생하지 않는 산업체 통용 기준인 5 J/㎡ 보다 높게 측정되었다. Ta/Cu 시편의 경우 DCB 시험에서만 5 J/㎡ 보다 낮게 측정되었다. 또한, DCB시험 보다 4-PB시험으로 측정된 Gc가 더 높았다. 이는 계면파괴역학 이론에 따라 이종재료의 계면균열 선단에서 위상각의 증가로 인한 계면 거칠기 및 소성변형에 의한 에너지 손실이 증가 하는것에 기인한다. 4-PB시험결과, Ta/Cu 및 Cu/Ta계면은 5 J/㎡ 이상의 높은 계면접착에너지를 보이므로, 계면접착에너지 관점에서는 Ta는 Cu배선의 확산방지층(diffusion barrier layer) 및 피복층(capping layer)으로 적용 가능할 것으로 생각된다. 또한, 배선 집적공정 및 소자의 사용환경에서 열팽창 계수 차이에 의한 열응력 및 화학적-기계적 연마 (chemical mechanical polishing)에 의한 박리는 전단응력이 포함된 혼합모드의 영향이 크므로 4-PB 시험으로 측정된 Gc와 연관성이 더 클 것으로 판단된다.

Keywords

References

  1. ITRS, "International technology roadmap for semiconductors 2005 edition interconnect", 2-8, (2005).
  2. C. Wu, Y. Li, M. R. Baklanov, and K. Croes, "Electrical reliability challenges of advanced low-k dielectrics", ECS J. Solid State Sci. Technol., 4, 3065 (2015).
  3. H. Ceric, H. Zahedmanesh, and K. Croes, "Analysis of electromigration failure of nano-interconnects through a combination of modeling and experimental methods", Microelectron. Reliab., 100 (2019).
  4. F. Chen, M. Shinosky, B. Li, J. Gambino, S. Mongeon, P. Pokrinchak, J. Aitken, D. Badami, M. Angyal, R. Achanta, G. Bonilla, G. Yang, P. Liu, K. Li, J. Sudijono, Y. Tan, T. J. Tang, and C. Child, "Critical ultra low-k TDDB reliability issues for advanced CMOS technologies", Proc. IEEE Int. Reliab. Phys. Symp., IEEE, 464 (2009).
  5. M. Gall, K. B. Yeap, and E. Zschech, "Advanced concepts for TDDB reliability in conjunction with 3D stress", Proc. American Institute of Physics Inc (AIP)., 79, (2014).
  6. Z. Tokei, Y. L. Li, and G.P. Beyer, "Reliability challenges for copper low-k dielectrics and copper diffusion barriers", Microelectron. Reliab., 1436 (2005).
  7. K. Boon Yeap, M. Gall, Z. Liao, C. Sander, U. Muehle, P. Justison, O. Aubel, M. Hauschildt, A. Beyer, N. Vogel, and E. Zschech, "In situ study on low- k interconnect time-dependent-dielectric-breakdown mechanisms", J. Appl. Phys., 115, 124101 (2014). https://doi.org/10.1063/1.4869403
  8. M. Lane, R. H. Dauskardt, N. Krishna, and I. Hashim, "Adhesion and reliability of copper interconnects with Ta and TaN barrier layers", J. Mater. Res., 15, 203 (2000). https://doi.org/10.1557/JMR.2000.0033
  9. Y. Au, Y. Lin, H. Kim, E. Beh, Y. Liu, and R. G. Gordon, "Selective Chemical Vapor Deposition of Manganese Self-Aligned Capping Layer for Cu Interconnections in Microelectronics", Journal of The Electrochemical Society, 157(6), 341 (2010).
  10. D. Edelstein, C. Uzoh, C. Cabral, P. DeHaven, P. Buchwalter, A. Simon, E. Cooney, S. Malhotra, D. Klaus, H. Rathore, B. Agarwala, D. Nguyen, , "A High Performance Liner for Copper Damascene Interconnects", Proc. Interconnect Technol. Conf., IEEE, 9 (2001).
  11. W. L. Wang, C. T. Wang, W.C. Chen, K. T. Peng, M. H. Yeh, H. C. Kuo, H. J. Chien, J. C. Chuang, and T. H. Ying, "The Reliability Improvement of Cu Interconnection by the Control of Crystallized α-Ta/TaNx Diffusion Barrier", J. Nanomater., 917935 (2015).
  12. C. L. Lo, M. Catalano, A. Khosravi, W. Ge, Y . Ji, D. Y. Zemlyanov, L. Wang, R. Addou, Y. Liu, R. M. Wallace, M. J. Kim, and Z. Chen, "Enhancing Interconnect Reliability and Performance by Converting Tantalum to 2D Layered Tantalum Sulfide at Low Temperature", Adv. Mater., 31, 1902397 (2019). https://doi.org/10.1002/adma.201902397
  13. A. Furuya, H. Tsuda, and S. Ogawa, "Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer deposition", J. Vac. Sci. Technol. B, 23(3), 979 (2005). https://doi.org/10.1116/1.1926289
  14. S. S. Wong, C. Ryu, H. Lee, and K. W. Kwon, "Barriers For Copper Interconnections", Proc. MRS., 514, 75 (1998). https://doi.org/10.1557/PROC-514-75
  15. J. Shang, J. Hao, T. Hang, and M. Li, "Diffusion barrier effect of Ta/Ti bilayer in organic dielectric/Cu interconnects", Thin Solid Films, 653(1), 113 (2018). https://doi.org/10.1016/j.tsf.2018.03.025
  16. L. P. Buchwalter, "Chromium and tantalum adhesion to plasma-deposited silicon dioxide and silicon nitride", J. Adhesion Sci. Technol., 9(1), 97 (1995). https://doi.org/10.1163/156856195X00329
  17. K. S. Kim and N. Aravas, "Elastoplastic analysis of the peel test", Int. J. Solids Struct., 24(4), 417 (1988). https://doi.org/10.1016/0020-7683(88)90071-6
  18. S. C. Park, J. H. Lee, J. W. Lee, I. H. Lee, S. E. Lee, B. I. Song, Y. K. Chung, and Y. B. Park, "Effect of Ar+ RF Plasma Treatment Conditions on Interfacial Adhesion Energy Between Cu and ALD Al2O3 Thin Films for Embedded PCB Applications(in Kor.)", J. Microelectron. Packag. Soc., 14(1), 61 (2007).
  19. R. Shaviv, S. Toham, and P. Woytowitz, "Optimizing the Precision of the Four-point Bend Test for the Measurement of Thin-film Adhesion", Microelectronic Eng., 82(2), 99 (2005). https://doi.org/10.1016/j.mee.2005.06.006
  20. P. G. Charalambides, J. Lund, A. G. Evans, and R. M. McMeeking, "A test specimen for determining the fracture resistance of biomaterial interfaces", J. Appl. Mech., 111, 77 (1989).
  21. R. H. Dauskardt, M. Lane, Q. Ma, and N. Krishna, "Adhesion and debonding of multi-layer thin film structures", Engng. Fract. Mech., 61(1), 141 (1998). https://doi.org/10.1016/S0013-7944(98)00052-6
  22. M. F. Kanninen, "An augmented double cantilever beam model for studying crack propagation and arrest", Int. J. of Fract., 9(1), 83 (1973). https://doi.org/10.1007/BF00035958
  23. T. S. Kim, N. Tsuji, N. Kemeling, K. Matsushita, D. Chumakov, H. Geisler, E. Zschech, and R. H. Dausdardt, "Depth dependence of ultraviolet curing of organosilicate low-k thin films", J. Appl. Phys., 103(6), 064108 (2008). https://doi.org/10.1063/1.2894727
  24. H. Lee, M. Jeong, G. Kim, K. Son, J. Seo, T. S. Kim, and Y. B. Park, "Effects of Post-annealing and Co Interlayer Between SiNx and Cu on the Interfacial Adhesion Energy for Advanced Cu Interconnections", Electron. Mater. Lett., 16, 311 (2020). https://doi.org/10.1007/s13391-020-00210-7
  25. S. Kang, T. Yoon, B. S. Ma, M. S. Cho, and T.-S. Kim, "Liquid-assisted adhesion control of graphene-copper interface for damage-free mechanical transfer", Appl. Surf. Sci., 551(15), 149229 (2021). https://doi.org/10.1016/j.apsusc.2021.149229
  26. I. Lee, J. Noh, J.-Y. Lee, and T.-S. Kim, "Co-optimization of Adhesion and Power Conversion Efficiency of Organic Solar Cells by Controlling Surface Energy of Buffer Layers", ACS Appl. Mater. Inter., 9(42), 37395 (2017). https://doi.org/10.1021/acsami.7b10398
  27. Y. Chung, S. Lee, C. Mahata, J. Seo, S.-M. Lim, M. Jeong, H. Jung, Y.-C, Joo, Y.-B, Park, H. Kim, and T. Lee, "Coupled self-assembled monolayer for enhancement of Cu diffusion barrier and adhesion properties", RSC Adv., 4, 60123 (2014). https://doi.org/10.1039/C4RA08134J
  28. M. Jeong, B.-H. Bae, H. Lee H.-O. Kang, W.-J. Hwang, J.-M. Yang, and Y.-B. Park, "Effects of post-annealing and temperature/humidity treatments on the interfacial adhesion energy of the Cu/SiN x interface for Cu interconnects", Jpn. J. Appl. Phys., 55, 06JD01 (2016). https://doi.org/10.7567/JJAP.55.06JD01
  29. T. Scherban, B. Sun, J. Blaine, C. Block, B. Jin, and E. Andideh, "Interfacial Adhesion of Copper-Low k Interconnects", Proc. International Interconnect Technology Conference (IITC), Burlingame, CA, USA, 257, IEEE (2001).
  30. M. Lane, "Interface fracture", Annu. Rev. Mater. Res., 33, 29 (2003). https://doi.org/10.1146/annurev.matsci.33.012202.130440
  31. J. W. Hutchinson and Z. Suo, "Mixed Mode Cracking in Layered Materials", Adv. Appl. Mech., 29, 63 (1992). https://doi.org/10.1016/S0065-2156(08)70164-9
  32. G. Kim, J. Lee, Se. H. Park, S. Kang, T. S. Kim, and Y. B. Park, "Comparison of Quantitative Interfacial Adhesion Energy Measurement Method between Copper RDL and WPR Dielectric Interface for FOWLP Applications(in Kor.)", J. Microelectron. Packag. Soc., 25(2), 41 (2018). https://doi.org/10.6117/KMEPS.2018.25.2.041
  33. T. M. Shaw, E. Liniger, G. Bonilla, J. P. Doyle, B. Herbst, X. H. Liu, and M. W. Lane, "Experimental Determination of the Toughness of Crack Stop Structures", Proc. International Interconnect Technology Conference (IITC), Burlingame, CA, USA, 114, IEEE (2007).