• Title/Summary/Keyword: Deep Etching

Search Result 134, Processing Time 0.031 seconds

Via Contact and Deep Contact Hole Etch Process Using MICP Etching System (Multi-pole Inductively Coupled Plasma(MICP)를 이용한 Via Contact 및 Deep Contact Etch 특성 연구)

  • 설여송;김종천
    • Journal of the Semiconductor & Display Technology
    • /
    • v.2 no.3
    • /
    • pp.7-11
    • /
    • 2003
  • In this research, the etching characteristics of via contact and deep contact hole have been studied using multi-pole inductively coupled plasma(MICP) etching system. We investigated Plasma density of MICP source using the Langmuir probe and etching characteristics with RF frequency, wall temperature, chamber gap, and gas chemistry containing Carbon and Fluorine. As the etching time increases, formation of the polymer increases. To improve the polymer formation, we controlled the temperature of the reacting chamber, and we found that temperature of the chamber was very effective to decrease the polymer thickness. The deep contact etch profile and high selectivity(oxide to photoresist) have been achieved with the optimum mixed gas ratio containing C and F and the temperature control of the etching chamber.

  • PDF

Characterization of Deep Dry Etching of Silicon Single Crystal by HDP (HDP를 이용한 실리콘 단결정 Deep Dry Etching에 관한 특성)

  • 박우정;김장현;김용탁;백형기;서수정;윤대호
    • Journal of the Korean Ceramic Society
    • /
    • v.39 no.6
    • /
    • pp.570-575
    • /
    • 2002
  • The present tendency of electrical and electronics is concentrated on MEMS devices for advantage of miniaturization, intergration, low electric power and low cost. Therefore it is essential that high aspect ratio and high etch rate by HDP technology development, so that silicon deep trench etching reactions was studied by ICP equipment. Deep trench etching of silicon was investigated as function of platen power, etch step time of etch/passivation cycle time and SF$\_$6/:C$_4$F$\_$8/ flow rate. Their effects on etch profile, scallops, etch rate, uniformity and selectivity were also studied.

The Study for Investigation of the sufficient vertical profile with reducing loading effect for silicon deep trench etching (Vertical Profile Silicon Deep Trench Etch와 Loading effect의 최소화에 대한 연구)

  • Kim, Sang-Yong;Jeong, Woo-Yang;Yi, Keun-Man;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.118-119
    • /
    • 2009
  • This paper presents the feature profile evolution silicon deep trench etching, which is very crucial for the commercial wafer process application. The silicon deep trenches were etched with the SF6 gas & Hbr gas based process recipe. The optimized silicon deep trench process resulted in vertical profiles (87o~90o) with loading effect of < 1%. The process recipes were developed for the silicon deep trench etching applications. This scheme provides vertically profiles without notching of top corner was observed. In this study, the production of SF6 gas based silicon deep trench etch process much more strongly than expected on the basis of Hbr gas trench process that have been investigated by scanning electron microscope (SEM). Based on the test results, it is concluded that the silicon deep trench etching shows the sufficient profile for practical MOS FET silicon deep trench technology process.

  • PDF

Fabrication of Hollow-type Silicon Microneedle Array Using Microfabrication Technology (반도체 미세공정 기술을 이용한 Hollow형 실리콘 미세바늘 어레이의 제작)

  • Kim, Seung-Kook;Chang, Jong-Hyeon;Kim, Byoung-Min;Yang, Sang-Sik;Hwang, In-Sik;Pak, Jung-Ho
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.56 no.12
    • /
    • pp.2221-2225
    • /
    • 2007
  • Hollow-type microneedle array can be used for painless, continuous and stable drug delivery through a human skin. The needles must be sharp and have sufficient length in order to penetrate the epidermis. An array of hollow-type silicon microneedles was fabricated by using deep reactive ion etching and HNA wet etching with two oxide masks. Isotropic etching was used to create tapered tips of the needles, and anisotropic etching of Bosch process was used to make the extended length and holes of microneedles. The microneedles were formed by three steps of isotropic, anisotropic, and isotropic etching in order. The holes were made by one anisotropic etching step. The fabricated microneedles have $170{\mu}m$ width, $40{\mu}m$ hole diameter and $230{\mu}m$ length.

The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher (범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각)

  • 조수범;박세근;오범환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.

Optimization of Etching Profile in Deep-Reactive-Ion Etching for MEMS Processes of Sensors

  • Yang, Chung Mo;Kim, Hee Yeoun;Park, Jae Hong
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.1
    • /
    • pp.10-14
    • /
    • 2015
  • This paper reports the results of a study on the optimization of the etching profile, which is an important factor in deep-reactive-ion etching (DRIE), i.e., dry etching. Dry etching is the key processing step necessary for the development of the Internet of Things (IoT) and various microelectromechanical sensors (MEMS). Large-area etching (open area > 20%) under a high-frequency (HF) condition with nonoptimized processing parameters results in damage to the etched sidewall. Therefore, in this study, optimization was performed under a low-frequency (LF) condition. The HF method, which is typically used for through-silicon via (TSV) technology, applies a high etch rate and cannot be easily adapted to processes sensitive to sidewall damage. The optimal etching profile was determined by controlling various parameters for the DRIE of a large Si wafer area (open area > 20%). The optimal processing condition was derived after establishing the correlations of etch rate, uniformity, and sidewall damage on a 6-in Si wafer to the parameters of coil power, run pressure, platen power for passivation etching, and $SF_6$ gas flow rate. The processing-parameter-dependent results of the experiments performed for optimization of the etching profile in terms of etch rate, uniformity, and sidewall damage in the case of large Si area etching can be summarized as follows. When LF is applied, the platen power, coil power, and $SF_6$ should be low, whereas the run pressure has little effect on the etching performance. Under the optimal LF condition of 380 Hz, the platen power, coil power, and $SF_6$ were set at 115W, 3500W, and 700 sccm, respectively. In addition, the aforementioned standard recipe was applied as follows: run pressure of 4 Pa, $C_4F_8$ content of 400 sccm, and a gas exchange interval of $SF_6/C_4F_8=2s/3s$.

A Study on Deep Etching technology for MEMS process (MEMS 가공을 위한 실리콘 Deep Etching 기술 연구)

  • 김진현;이종권;류근걸;이윤배;이미영;김우혁
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.5 no.2
    • /
    • pp.128-131
    • /
    • 2004
  • In this study Bosch etching process repeating etch and deposition by STS-ICP ASEHR was evaluated. Fundamentally etch depth changes were affected by thickness of deposited PR, $SiO_2$ and depth, and pattern size on the substrate. However etch rates were observed to be changed by variable parameters such as platen power, coil power, and process pressure. Etch rate showed $1.2\mu{m}/min$ and sidewall profile showed $90\pm0.2^\circ$ with platen power 12W, coil power 500W, and etch/passivation cycle 6/7sec. It was confirmed that this result was very typical to Bosch process utilizing ICP.

  • PDF

Fine Structure of Pericanalicular Cytoplasm of Taurocholic Acid-treated Rat Liver as Revealed by Deep Etching with Rapid Freezing (Taurocholic acid 투여 흰쥐 담세관주위세포질의 미세구조에 관한 급속동결 deep etching법에 의한 연구)

  • Shin, Young-Chul
    • Applied Microscopy
    • /
    • v.28 no.1
    • /
    • pp.73-82
    • /
    • 1998
  • To elucidate how microfilaments and vesicles participate in bile formation, the pericanalicular cytoplasms were observed in the liver of rats treated with taurocholic acid by deep etching with rapid freezing, and copmpared them with the findings on convensional thin sections. The microfilaments were identified around the bile canaliculi in the forms of core filaments of microvilli, filaments of pericanalicular web running in parallel to the border of bile canaliculi, and filaments on the junctional complex. In taurocholic acid-treated rats, microfilaments could be visualized around the bile canaliculi and along their borders. The microfilaments appeared to be installed to link to both the canalicular membrane and vesicles. Such specialized microfilaments are considered to participate in the translocation of vesicles in the pericanalicular cytoplasm. From the evidence, it is assumed that the microfilament induces the vesicles to transport and fuse to bile canalicull into which bile acids is secreted by exocytosis.

  • PDF

Deep RIE(reactive ion etching)를 이용한 가스 유량센서 제작

  • Lee, Yeong-Tae;An, Gang-Ho;Gwon, Yong-Taek;Takao, Hidekuni;Ishida, Makoto
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2006.10a
    • /
    • pp.198-201
    • /
    • 2006
  • In this paper, we fabricated drag force type and pressure difference type gas flow sensor with dry etching technology which used Deep RIE(reactive ion etching) and etching stop technology which used SOI(silicon-on-insulator). we fabricated four kinds of sensor, which are cantilever, paddle type, diaphragm, and diaphragm with orifice type. Both cantilever and paddle type flow sensors have similar sensitivity as 0.03mV/V kPa. Sensitivity of the fabricated diaphragm and diaphragm with orifice type sensor were relatively high as about 3.5mV/V kPa, 1.5mV/V kPa respectively.

  • PDF

Process Development of Forming of One Body Fine Pitched S-Type Cantilever Probe in Recessed Trench for MEMS Probe Card (멤스 프로브 카드를 위한 깊은 트렌치 안에서 S 모양의 일체형 미세피치 외팔보 프로브 형성공정 개발)

  • Kim, Bong-Hwan
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.48 no.1
    • /
    • pp.1-6
    • /
    • 2011
  • We have developed the process of forming one body S-type cantilever probe in the recessed trench for fine-pitched MEMS probe card. The probe (cantilever beam and pyramid tip) was formed using Deep RIE etching and wet etching. The pyramid tip was formed by the wet etching using KOH and TMAH. The process of forming the curved probe was also developed by the wet etching. Therefore, the fabricated probe is applicable for the probe card for DRAM, Flash memory and RF devices tests and probe tip for IC test socket.