• Title/Summary/Keyword: Etch profile

Search Result 144, Processing Time 0.038 seconds

Dry Etch Characteristic of Ferroelectric $YMnO_3$ Thin Films Using High Density $Ar/Cl_{2}CF_{4}\;PAr/Cl_{2}/CF_{4}$ 고밀도lasma ($Ar/Cl_{2}/CF_{4}$ 고밀도 플라즈마를 이용한 강유전체 $YMnO_3$의 건식식각 특성연구)

  • Park, Jae-Hwa;Kim, Chang-Il;Chang, Eui-Goo;Lee, Cheol-In;Lee, Byeong-Ki
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.213-216
    • /
    • 2001
  • Etching behaviors of ferroelectric $YMnO_3$ thin films were studied by an inductively coupled plasma (ICP). Etch characteristic on ferroelectric $YMnO_3$ thin film have been investigated in terms of etch rate, selectivity and etch profile. The maximum etch rate of $YMnO_3$ thin film is $300{\AA}/min$ at $Ar/Cl_2$ of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of $30^{\circ}C$. Addition of $CF_4$ gas decrease the etch rate of $YMnO_3$ thin film. From the results of XPS analysis, YFx compounds were found on the surface of $YMnO_3$ thin film which is etched in $Ar/Cl/CF_{4}$ plasma. The etch profile of $YMnO_3$ film is improved by addition of $CF_4$ gas into the $Ar/Cl_2$ plasma. These results suggest that fluoride yttrium acts as a sidewall passivants which reduce the sticking coefficient of chlorine on $YMnO_3$.

  • PDF

Si Deep Etching Process Study for Fine Pitch Probe Unit

  • Han, Myeong-Su;Park, Il-Mong;Han, Seok-Man;Go, Hang-Ju;Kim, Hyo-Jin;Sin, Jae-Cheol
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.296-296
    • /
    • 2012
  • LCD panel 검사를 위한 Probe unit은 대형 TV 및 모바일용 스마트폰을 중심으로 각광을 받고 있는 소모성 부품으로 최근 pitch의 미세패턴화가 급속히 진행되고 있다. 본 연구에서는 Slit Wafer 제작 공정을 최적화하기 위해 25 um pitch의 마스크를 설계, 제작하였다. 단공과 장공을 staggered 형태로 배열하여 25 um/25 um line/space pitch로 설계하였다. 또한 단위실험을 위해 직접 25 um pitch로 설계하여, 동일한 실험조건을 적용하여 최적 조건을 찾고자 하였다. 반응변수는 Etch rate 및 profile angle로 결정하였으며, 약 200~400 um 에칭된 slit의 상단과 하단의 폭, 그리고 식각깊이를 SEM 측정사진을 통해 정한 후 etch rate 및 profile angle을 결정하였다. 인자는 식각속도 및 wall의 각도를 결정하는 식각 및 passivation 가스의 유량, chamber 압력(etching/passivation), 식각시간 등으로 정하였으며, 이들의 최대값과 최소값 2 수준으로 실험계획을 설계하였다. 식각 조건에 따라 8회의 실험을 수행하였다. 가스의 유량은 SF6 400 sccm, C4F8 400 sccm, 식각 싸이클 시간은 5.2~10.4 sec, passivation 싸이클시간 4 sec로 하였으며, 압력은 식각시 7.5 Pa, passivation 시 10 Pa로 할 경우가 가장 sharp하게 나타났다. Coil power 와 platen power는 각각 2.6 KW, 0.14 KW로 하였으며, 최적화를 위한 인자의 값들은 이 범위에서 조절하였다. 이러한 인자의 조건 조절을 통해 etch rate는 5.6 um/min~6.4 um/min, $88.9{\sim}89.1^{\circ}$의 profile angle을 얻을 수 있었다.

  • PDF

Experimental Study of Reactive Ion Etching of Tungsten Films Using $SF_6$ Plasma ($SF_6$플라즈마를 이용한 텅스텐 박막의 반응성이온식각에 관한 실험적 연구)

  • 박상규;서성우;이시우
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.30A no.7
    • /
    • pp.60-74
    • /
    • 1993
  • Experiments of RIE of tungsten films using SF$_{6}$ plasma were conducted to investigate the effect of process parameters on etch rate, uniformity, anisotropy, and selectivity. As power increased, the etch rate increased. Maximum etch rate was obtained at 200mtorr As interelectrode spacing increased the etch rate increased for P < 200mtorr while it decreased for P> 200mtorr. Etch rate was maximum at 20 sccm gas flow rate. As substrate temperature increased, the etch rate increased and activation energy was 0.046 eV. In addition, maximum etch rate was acquired at 20% $O_{2}$ addition. The etch rate slightly increased when Ar was added up to 20% while it continuously decreased when N$_{2}$ was added. Uniformity got improved as pressure decreased and was less than 4% for P <100mtorr. Mass spectrometer was utilized to analyze gas composition and S and F peaks were observed from XPS analysis with increasing power. The anisotropy was better for smaller power and spacing, and lower pressure and temperature. It improved when CH$_{4}$ was added and anisotropic etch profile was obtained when about 10% $O_{2}$ was added. The selectjvity was better for smaller power larger pressure and spacing, and lower temperature. Especially. low temperature processing was proposed as a novel method to improve the anisotropy and selectivity.

  • PDF

The Etching Characteristics of Polyimide Thin Films using CF4O2 Gas Plasma (CF4O2 gas 플라즈마를 이용한 폴리이미드 박막의 식각)

  • 강필승;김창일;김상기
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.5
    • /
    • pp.393-397
    • /
    • 2002
  • Polyimide (PI) films have been studied widely as the interlayer dielectric materials due to a low dielectric constant, low water absorption, high gap-fill and planarization capability. The polyimide film was etched using inductively coupled plasma system. The etcying characteristics such as etch rate and selectivity were evaluated at different $CF_4/(CF_4+O_2)$chemistry. The maximum etch rate was 8300 ${\AA}/min$ and the selectivity of polyimide to SiO$_2$was 5.9 at $CF_4/(CF_4+O_2)$ of 0.2. Etch profile of polyimide film with an aluminum pattern was measured by a scanning electron microscopy. The vertical profile was approximately $90^{\circ}$ at $CF_4/(CF_4+O_2)$ of 0.2. As 20% $CF_4$ were added into $O_2$ plasma from the results of the optical emission spectroscopy, the radical densities of fluorine and oxygen increased with increasing $CF_4$ concentration in $CF_4/O_2$ from 0 to 20%, resulting in the increased etch rate. The surface reaction of etched PI films was investigated using x-ray photoelectron spectroscopy.

Employing Al Etch Stop Layer for Nb-based SNS Josephson Junction Fabrication Process (Al 식각정지층을 이용한 Nb-based SNS 조셉슨 접합의 제조공정)

  • Choi, J.S.;Park, J.H.;Song, W.;Chong, Y.
    • Progress in Superconductivity
    • /
    • v.12 no.2
    • /
    • pp.114-117
    • /
    • 2011
  • We report our efforts on the development of Nb-based non-hysteretic Josephson junction fabrication process for quantu device applications. By adopting and modifying the existing Nb-aluminum oxide tunnel junction process, we develop a process for non-hysteretic Josephson junction circuits using metal-silicide as metallic barrier material. We use sputter deposition of Nb and $MoSi_2$, PECVD deposition of silicon oxide as insulator material, and ICP-RIE for metal and oxide etch. The advantage of the metal-silicide barrier in the Nb junction process is that it can be etched in $SF_6$ RIE together with Nb electrode. In order to define a junction area precisely and uniformly, end-point detection for the RIE process is critical. In this paper, we employed thin Al layer for the etch stop, and optimized the etch condition. We have successfully demonstrated that the etch stop properties of the inserted Al layer give a uniform etch profile and a precise thickness control of the base electrode in Nb trilayer junctions.

Etching Characteristics of Au Film using Capacitively Coupled CF4/Ar Plasma

  • Kim, Gwang-Beom;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.82
    • /
    • pp.1-4
    • /
    • 2007
  • In this paper, the etching of Au films using photoresist masks on Si substrates was investigated using a capacitively coupled plasma etch reactor. The advantages of plasma etch techniques over current methods for Au metalization include the ability to simplify the metalization process flow with respect to resist lift-off schemes, and the ability to cleanly remove etched material without sidewall redeposition, as is seen in ion milling. The etch properties were measured for different gas mixing ratios of CF4/Ar, and chamber pressures while the other conditions were fixed. According to statistical design of experiment (DOE), etching process of Au films was characterized and also 20 samples were fabricated followed by measuring etch rate, selectivity and etch profile. There is a chemical reaction between CF4 and Au. Au- F is hard to remove from the surface because of its high melting point. The etching products can be sputtered by Ar ion bombardment.

Laser-induced Thermochemical Wet Etching of Titanium for Fabrication of Microstructures (레이저 유도 열화학 습식에칭을 이용한 티타늄 미세구조물 제조)

  • 신용산;손승우;정성호
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.21 no.4
    • /
    • pp.32-38
    • /
    • 2004
  • Laser-induced thermochemical wet etching of titanium in phosphoric acid has been investigated to examine the feasibility of this method fur fabrication of microstructures. Cutting, drilling, and milling of titanium foil were carried out while examining the influence of process parameters on etch width, etch depth, and edge straightness. Laser power, scanning speed of workpiece, and etchant concentration were chosen as major process parameters influencing on temperature distribution and reaction rate. Etch width increased almost linearly with laser power showing little dependence on scanning speed while etch depth showed wide variation with both laser power and scanning speed. A well-defined etch profile with good surface quality was obtained at high concentration condition. Fabrication of a hole, micro cantilever beam, and rectangular slot with dimension of tess than 100${\mu}{\textrm}{m}$ has been demonstrated.

Optimization of Etching Profile in Deep-Reactive-Ion Etching for MEMS Processes of Sensors

  • Yang, Chung Mo;Kim, Hee Yeoun;Park, Jae Hong
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.1
    • /
    • pp.10-14
    • /
    • 2015
  • This paper reports the results of a study on the optimization of the etching profile, which is an important factor in deep-reactive-ion etching (DRIE), i.e., dry etching. Dry etching is the key processing step necessary for the development of the Internet of Things (IoT) and various microelectromechanical sensors (MEMS). Large-area etching (open area > 20%) under a high-frequency (HF) condition with nonoptimized processing parameters results in damage to the etched sidewall. Therefore, in this study, optimization was performed under a low-frequency (LF) condition. The HF method, which is typically used for through-silicon via (TSV) technology, applies a high etch rate and cannot be easily adapted to processes sensitive to sidewall damage. The optimal etching profile was determined by controlling various parameters for the DRIE of a large Si wafer area (open area > 20%). The optimal processing condition was derived after establishing the correlations of etch rate, uniformity, and sidewall damage on a 6-in Si wafer to the parameters of coil power, run pressure, platen power for passivation etching, and $SF_6$ gas flow rate. The processing-parameter-dependent results of the experiments performed for optimization of the etching profile in terms of etch rate, uniformity, and sidewall damage in the case of large Si area etching can be summarized as follows. When LF is applied, the platen power, coil power, and $SF_6$ should be low, whereas the run pressure has little effect on the etching performance. Under the optimal LF condition of 380 Hz, the platen power, coil power, and $SF_6$ were set at 115W, 3500W, and 700 sccm, respectively. In addition, the aforementioned standard recipe was applied as follows: run pressure of 4 Pa, $C_4F_8$ content of 400 sccm, and a gas exchange interval of $SF_6/C_4F_8=2s/3s$.

Magnetized inductively coupled plasma etching of GaN in $Cl_2/BCl_3$ plasmas

  • Lee, Y.H.;Sung, Y.J.;Yeom, G.Y.
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 1999.10a
    • /
    • pp.49-49
    • /
    • 1999
  • In this study, $Cl_2/BCI_3$ magnetized inductively coupled plasmas (MICP) were used to etch GaN and the effects of magnetic confinements of inductively coupled plasmas on the GaN etch characteristics were investigated as a function of $Cl_2/BCI_3$. Also, the effects of Kr addition to the magnetized $Cl_2/BCI_3$ plasmas on the GaN etch rates were investigated. The characteristics of the plasmas were estimated using a Langmuir probe and quadrupole ma~s spectrometry (QMS). Etched GaN profiles were observed using scanning electron microscopy (SEM). The small addition of $Cl_2/BCI_3$ (10-20%) in $Cl_2$ increased GaN etch rates for both with and without the magnetic confinements. The application of magnetic confinements to the $Cl_2/BCI_3$ inductively coupled plasmas (ICP) increased GaN etch rates and changed the $Cl_2/BCI_3$ gas composition of the peak GaN etch rate from 10% $BCI_3$ to 20% $BCI_3$. It also increased the etch selectivity over photoresist, while slightly reducing the selectivity over $Si0_2$. The application of the magnetic field significantly increased positive $BCI_2{\;}^+$ measured by QMS and total ion saturation current measured by the Langmuir probe. Other species such as CI, BCI, and CI+ were increased while species such as $BCl_2$ and $BCI_3$ were decreased with the application of the magnetic field. Therefore, it appears that the increase of GaN etch rate in our experiment is related to the increased dissociative ionization of $BCI_3$ by the application of the magnetic field. The addition of 10% Kr in an optimized $Cl_2/BCI_3$ condition (80% $Cl_2/$ 20% $BCI_3$) with the magnets increased the GaN etch rate about 60%. More anisotropic GaN etch profile was obtained with the application of the magnetic field and a vertical GaN etch profile could be obtained with the addition of 10% Kr in an optimized $Cl_2/BCI_3$ condition with the magnets.

  • PDF

Modeling of Silicon Etch in KOH for MEMS Based Energy Harvester Fabrication (MEMS기반 에너지 하베스터 제작을 위한 실리콘 KOH 식각 모형화)

  • Min, Chul-Hong;Gang, Gyeong-Woo;Kim, Tae-Seon
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.3
    • /
    • pp.176-181
    • /
    • 2012
  • Due to the high etch rate and low fabrication cost, the wet etching of silicon using KOH etchant is widely used in MEMS fabrication area. However, anisotropic etch characteristic obstruct intuitional mask design and compensation structures are required for mask design level. Therefore, the accurate modeling for various types of silicon surface is essential for fabrication of three-dimensional MEMS structure. In this paper, we modeled KOH etch profile for MEMS based energy harvester using fuzzy logic. Modeling results are compared with experimental results and it is applied to design of compensation structure for MEMS based energy harvester. Through Fuzzy inference approaches, developed model showed good agreement with the experimental results with limited etch rate information.