• Title/Summary/Keyword: Etch profile

Search Result 144, Processing Time 0.039 seconds

GaN Etch Process System using Parallel Plasma Source for Micro LED Chip Fabrication (병렬 플라즈마 소스를 이용한 마이크로 LED 소자 제작용 GaN 식각 공정 시스템 개발)

  • Son, Boseong;Kong, Dae-Young;Lee, Young-Woong;Kim, Huijin;Park, Si-Hyun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.3
    • /
    • pp.32-38
    • /
    • 2021
  • We developed an inductively coupled plasma (ICP) etcher for GaN etching using a parallel plasma electrode source with a multifunctional chuck matched to it in order for the low power consumption and low process cost in comparison with the conventional ICP system with a helical-type plasma electrode source. The optimization process condition using it for the micro light-emitting diode (µ-LED) chip fabrication was established, which is an ICP RF power of 300 W, a chuck power of 200 W, a BCl3/Cl2 gas ratio of 3:2. Under this condition, the mesa structure with the etch depth over 1 ㎛ and the etch angle over 75° and also with no etching residue was obtained for the µ-LED chip. The developed ICP showed the improved values on the process pressure, the etch selectivity, the etch depth uniformity, the etch angle profile and the substrate temperature uniformity in comparison with the commercial ICP. The µ-LED chip fabricated using the developed ICP showed the similar or improved characteristics in the L-I-V measurements compared with the one fabricated using the conventional ICP method

Etch Characteristics of CoTb and CoZrNb Thin Films by High Density Plasma Etching (고밀도 플라즈마 식각에 의한 CoTb과 CoZrNb 박막의 식각 특성)

  • Shin, Byul;Park, Ik Hyun;Chung, Chee Won
    • Korean Chemical Engineering Research
    • /
    • v.43 no.4
    • /
    • pp.531-536
    • /
    • 2005
  • Inductively coupled plasma reactive ion etching of CoTb and CoZrNb magnetic materials with the photoresist mask was performed using $Cl_2/Ar$ and $C_2F_6/Ar$ gas mixtures and characterized in terms of etch rate and etch profile. As the concentrations of $Cl_2$ and $C_2F_6$ gases increased, the etch rates of magnetic films decreased and the etch slopes became slanted. The $Cl_2/Ar$ gas was more effective in obtaining fast etch rate and steep sidewall slope than the $C_2F_6/Ar$ gas. As the coil rf power and dc bias increased, fast etch rate and steep etch slope were obtained but the redeposition on the sidewall was observed. This is due to the increase of ion and radical densities in plasma with increasing the coil rf power and the increase of incident ion energy to the substrate with increasing the dc bias voltage. By applying high density reactive ion etching to magnetic tunnel junction stack containing various magnetic films and metal oxide, steep etch slope and clean etch profile without redeposition were obtained.

GPU Based Feature Profile Simulation for Deep Contact Hole Etching in Fluorocarbon Plasma

  • Im, Yeon-Ho;Chang, Won-Seok;Choi, Kwang-Sung;Yu, Dong-Hun;Cho, Deog-Gyun;Yook, Yeong-Geun;Chun, Poo-Reum;Lee, Se-A;Kim, Jin-Tae;Kwon, Deuk-Chul;Yoon, Jung-Sik;Kim3, Dae-Woong;You, Shin-Jae
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.80-81
    • /
    • 2012
  • Recently, one of the critical issues in the etching processes of the nanoscale devices is to achieve ultra-high aspect ratio contact (UHARC) profile without anomalous behaviors such as sidewall bowing, and twisting profile. To achieve this goal, the fluorocarbon plasmas with major advantage of the sidewall passivation have been used commonly with numerous additives to obtain the ideal etch profiles. However, they still suffer from formidable challenges such as tight limits of sidewall bowing and controlling the randomly distorted features in nanoscale etching profile. Furthermore, the absence of the available plasma simulation tools has made it difficult to develop revolutionary technologies to overcome these process limitations, including novel plasma chemistries, and plasma sources. As an effort to address these issues, we performed a fluorocarbon surface kinetic modeling based on the experimental plasma diagnostic data for silicon dioxide etching process under inductively coupled C4F6/Ar/O2 plasmas. For this work, the SiO2 etch rates were investigated with bulk plasma diagnostics tools such as Langmuir probe, cutoff probe and Quadruple Mass Spectrometer (QMS). The surface chemistries of the etched samples were measured by X-ray Photoelectron Spectrometer. To measure plasma parameters, the self-cleaned RF Langmuir probe was used for polymer deposition environment on the probe tip and double-checked by the cutoff probe which was known to be a precise plasma diagnostic tool for the electron density measurement. In addition, neutral and ion fluxes from bulk plasma were monitored with appearance methods using QMS signal. Based on these experimental data, we proposed a phenomenological, and realistic two-layer surface reaction model of SiO2 etch process under the overlying polymer passivation layer, considering material balance of deposition and etching through steady-state fluorocarbon layer. The predicted surface reaction modeling results showed good agreement with the experimental data. With the above studies of plasma surface reaction, we have developed a 3D topography simulator using the multi-layer level set algorithm and new memory saving technique, which is suitable in 3D UHARC etch simulation. Ballistic transports of neutral and ion species inside feature profile was considered by deterministic and Monte Carlo methods, respectively. In case of ultra-high aspect ratio contact hole etching, it is already well-known that the huge computational burden is required for realistic consideration of these ballistic transports. To address this issue, the related computational codes were efficiently parallelized for GPU (Graphic Processing Unit) computing, so that the total computation time could be improved more than few hundred times compared to the serial version. Finally, the 3D topography simulator was integrated with ballistic transport module and etch reaction model. Realistic etch-profile simulations with consideration of the sidewall polymer passivation layer were demonstrated.

  • PDF

Improvement of Repeatability during Dielectric Etching by Controlling Upper Electrode Temperature (Capacitively Coupled Plasma Source를 이용한 Etcher의 상부 전극 온도 변화에 따른 Etch 특성 변화 개선)

  • Shin, Han-Soo;Roh, Yong-Han;Lee, Nae-Eung
    • Journal of the Korean Vacuum Society
    • /
    • v.20 no.5
    • /
    • pp.322-326
    • /
    • 2011
  • Etch process of silicon dioxide layer by using capacitively coupled plasma (CCP) is currently being used to manufacture semiconductor devices with nano-scale feature size below 50 nm. In typical CCP plasma etcher system, plasmas are generated by applying the RF power on upper electrode and ion bombardment energy is controlled by applying RF power to the bottom electrode with the Si wafer. In this case, however, etch results often drift due to heating of the electrode during etching process. Therefore, controlling the temperature of the upper electrode is required to obtain improvement of etch repeatability. In this work, we report repeatability improvement during the silicon dioxide etching under extreme process conditions with very high RF power and close gap between upper and bottom electrodes. Under this severe etch condition, it is difficult to obtain reproducible oxide etch results due to drifts in etch rate, critical dimension, profile, and selectivity caused by unexpected problems in the upper electrode. It was found that reproducible etch results of silicon dioxide layer could be obtained by controlling temperature of the upper electrode. Methods of controlling the upper electrode and the correlation with etch repeatability will be discussed in detail.

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

Etch Characteristics of NbOx Nanopillar Mask for the Formation of Si Nanodot Arrays (Si Nanodot 배열의 형성을 위한 NbOx 나노기둥 마스크의 식각 특성)

  • Park, Ik Hyun;Lee, Jang Woo;Chung, Chee Won
    • Applied Chemistry for Engineering
    • /
    • v.17 no.3
    • /
    • pp.327-330
    • /
    • 2006
  • We investigated the usefulness of $NbO_{x}$ nanopillars as an etching mask of dry etching for the formation of Si nanodot arrays. The $NbO_{x}$ nanopillar arrays were prepared by the anodic aluminum oxidation process of Al and Nb thin films. The etch rate and etch profile of $NbO_{x}$ nanopillar arrays were examined by varying the experimental conditions such as the concentration of etch gas, coil rf power, and dc bias voltage in the reactive ion etch system using the inductively coupled plasma. As the concentration of $Cl_{2}$ gas increased, the etch rate of $NbO_{x}$nanopillars decreased. With increasing coil rf power and dc bias voltage, the etch rates were found to increase. The etch characteristics and etch mechanism of $NbO_{x}$ nanopillars were investigated by varying the etch time under the selected etch conditions.

Development of Polygonal Model for Shape-Deformation Analysis of Amorphous Carbon Hard Mask in High-Density Etching Plasma (고밀도 식각 플라즈마에서 비정질 탄소 하드 마스크의 형상 변형 해석을 위한 다각형 모델 개발)

  • Song, Jaemin;Bae, Namjae;Park, Jihoon;Ryu, Sangwon;Kwon, Ji-Won;Park, Taejun;Lee, Ingyu;Kim, Dae-Chul;Kim, Jong-Sik;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.4
    • /
    • pp.53-58
    • /
    • 2022
  • Shape changes of hard mask play a key role in the aspect ratio dependent etch (ARDE). For etch process using high density and energy ions, deformation of hard mask shape becomes more severe, and high aspect ratio (HAR) etch profile is distorted. In this study, polygonal geometric model for shape-deformation of amorphous carbon layered hard mask is suggested to control etch profile during the process. Mask shape is modeled with polygonal geometry consisting of trapezoids and rectangles, and it provides dynamic information about angles of facets and etched width and height of remained mask shape, providing important features for real-time HAR etch profiling.

Effects of Gas Chemistries on Poly-Si Plasma Etching with I-Line and DUV Resist (I-Line과 DUV Resist에서 Poly-Si 플라즈마 식각시 미치는 개스의 영향)

  • 신기수;김재영
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.2
    • /
    • pp.155-160
    • /
    • 1998
  • It is necessary to use Arc layer and DUV resist to define 0.25 $\mu \textrm{m}$ line and space for 256 MDRAM devices. Poly-Si etching with Arc layer and different resists has been performed in a TCP-9408 etcher with variation of gas chemistries; $Cl_2/O_2, Cl_2/N_2, Cl_2$/HBr . DUV resist causes more positive etch profile and CD gain compared to I-line resist because the sidewall passivation is more stimulated by increasing polymerization through the loss of resist. When Arc layer is applied, CD hain also increases due to the polymeric mask formed after thching Arc layer. From the point of gas chemistry effects, the etch profile and CD gain is not improved using $Cl_2/O_2$ gas, since polymerization is accelerated in this gas. however, the vertical profile and less CD gain is obtained using $Cl_2$/HBr gas. Furthermore, HBr gas is very effective to suppress the difference of profile and CD variation between dense pattern and isolated pattern by minimizing non-uniformity of side wall passivation with pattern density.

  • PDF

Use of Hard Mask for Finer (<10 μm) Through Silicon Vias (TSVs) Etching

  • Choi, Somang;Hong, Sang Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.6
    • /
    • pp.312-316
    • /
    • 2015
  • Through silicon via (TSV) technology holds the promise of chip-to-chip or chip-to-package interconnections for higher performance with reduced signal delay and power consumption. It includes high aspect ratio silicon etching, insulation liner deposition, and seamless metal filling. The desired etch profile should be straightforward, but high aspect ratio silicon etching is still a challenge. In this paper, we investigate the use of etch hard mask for finer TSVs etching to have clear definition of etched via pattern. Conventionally employed photoresist methods were initially evaluated as reference processes, and oxide and metal hard mask were investigated. We admit that pure metal mask is rarely employed in industry, but the etch result of metal mask support why hard mask are more realistic for finer TSV etching than conventional photoresist and oxide mask.

Via Contact and Deep Contact Hole Etch Process Using MICP Etching System (Multi-pole Inductively Coupled Plasma(MICP)를 이용한 Via Contact 및 Deep Contact Etch 특성 연구)

  • 설여송;김종천
    • Journal of the Semiconductor & Display Technology
    • /
    • v.2 no.3
    • /
    • pp.7-11
    • /
    • 2003
  • In this research, the etching characteristics of via contact and deep contact hole have been studied using multi-pole inductively coupled plasma(MICP) etching system. We investigated Plasma density of MICP source using the Langmuir probe and etching characteristics with RF frequency, wall temperature, chamber gap, and gas chemistry containing Carbon and Fluorine. As the etching time increases, formation of the polymer increases. To improve the polymer formation, we controlled the temperature of the reacting chamber, and we found that temperature of the chamber was very effective to decrease the polymer thickness. The deep contact etch profile and high selectivity(oxide to photoresist) have been achieved with the optimum mixed gas ratio containing C and F and the temperature control of the etching chamber.

  • PDF