• Title/Summary/Keyword: Etch rate

Search Result 601, Processing Time 0.035 seconds

Reactive ion Etching Characterization of SiC Film Deposited by Thermal CVD Method for MEMS Application (MEMS 적용을 위한 Thermal CVD 방법에 의해 증착한 SiC막의 반응성 이온 Etching 특성 평가)

  • 최기용;최덕균;박지연;김태송
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.3
    • /
    • pp.299-304
    • /
    • 2004
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of 100$0^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using SF$_{6}$/O$_2$ and CF$_4$/O$_2$ gas mixture. Etch rate has been investigated as a function of oxygen concentration in the gas mixture, rf power, working pressure and gas flow rate. Etch rate was measured by surface profiler and FE-SEM. SF$_{6}$/O$_2$ gas mixture showed higher etch rate than CF$_4$/O$_2$ gas mixture. Maximum etch rate appeared at RF Power of 450W. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observe

The Etching Characteristics of $MoSi_2$ film by ECR Etch (ECR Etch 에 의한 $MoSi_2$ 막의 식각 특성)

  • Lee, H.S.;Kang, H.B.;Park, G.S.;Lee, C.J.;Sung, Y.K.
    • Proceedings of the KIEE Conference
    • /
    • 1992.07b
    • /
    • pp.809-812
    • /
    • 1992
  • Charateristics of the ECR etch were Investigated about $MoSi_2$ layer which is widely used for the capping layer and barrier layer in VLSI metallization. The etch rate was evaluated according to gas ratio of $SF_6/BCl_3$, $N_2$ flow rate, RF power and chamber pressure. The chamber pressure, the most important factor, represented the maximum etch rate at about the pressure of 10 mTorr.

  • PDF

A Study of Etched ITO Characteristics by Inductively Coupled Plasma (유도 결합 플라즈마에 의해 식각된 ITO 특성 연구)

  • Wi, Jae-Hyung;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2010.06a
    • /
    • pp.175-175
    • /
    • 2010
  • The etching characteristics with etch rate of ITO thin films in an $O_2/BCl_3$/Ar plasma were investigated. The etch rate of ITO thin films increased with increasing $O_2$ content from 0 to 10 % in $BCl_3$/Ar plasma, whereas that of ITO decreased with increasing $O_2$ content from 10 % to 30 % in $BCl_3$/Ar plasma. The maximum etch rate of 65.9 nm/min for the ITO thin films was obtained at 10 % $O_2$ addition. The etch conditions were the RF power of 500 W, bias power of 200 W, and process pressure of 2 Pa. The analysis of x-ray photoelectron spectroscopy (XPS) was carried out to investigate the chemical reactions between the surfaces of ITO thin films and etch species.

  • PDF

Wet Etch Characteristics of Magnetic Thin Films (자성 박막의 습식 식각 특성)

  • 변요한;정지원
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.2
    • /
    • pp.105-109
    • /
    • 2002
  • The wet etching characteristics of magnetic materials such as NiFe and CoFe were investigated in terms of etch rate and etch profile by using variouus etching solutions (etchants). Among the various etching solutions, HNO$_3$, HCl, and H$_2$SO$_4$were selected for the etching of magnetic materials and showed distinct results. In the case of NiFe films, faster etch rate were obtained with HNO$_3$solution. When NiFe films ere etched with HCl solution, white etch residues were found on the surface of etched films. From FEAES analysis of these etch residues, they were proved to be by-product from the reaction of NiFe with Cl element. CoFe thin films showed the similar trend to the case of NiFe films. They were etched fast in HNO$_3$ solution while Chl solution represented slow etching. The etch profiles of CoFe films showed smooth etch profile but revealed the partial etching around the patterns in HNO$_3$solution of relatively high concentration. It was observed that the etched surface was clean and smooth, and that white etch residues were also remained on the etched films.

Selective Etching of Silicon in TMAH:IPA:Pyrazine Solutions (TMAH:IPA:Pyrazine 용액에서 실리콘의 선택식각)

  • Chung, Gwiy-Sang;Lee, Chae-Bong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.04b
    • /
    • pp.112-116
    • /
    • 2000
  • This paper presents anisotropic ethcing characteristics of single-crystal silicon in tetramethylammonium hydroxide(TMAH):isopropyl alcohol(IPA) solutions containing pyrazine. With the addition of IPA to TMAH solutions, etching characteristics are exhibited that indicate an improvement in flatness on the etching front and a reduction in undercutting, but the etch rate on (100) silicon is decreased. The (100) silicon etch rate is improved by the addition of pyrazine. An etch rate on (100) silicon of $0.8\;{\mu}m/min$, which is faster by 13 % than a 20 wt.% solution of pure TMAH, is obtained using 20 wt.% TMAH:0.5 g/100 ml pyrazine solutions, but the etch rate on (100) silicon is decreased if more pyrazine is added. With the addition of pyrazine to a 25 wt.% TMAH solution, variations in flatness on the etching front were not observed and the undercutting ratio was reduced by 30 ~ 50 %.

  • PDF

The Development of Silylated Photoresist Etch Process by Enhanced- Inductively Coupled Plasma (Enhanced-Inductively Coupled Plasma (E-ICP)를 이용한 Silylated photoresist 식각공정개발)

  • 조수범;김진우;정재성;오범환;박세근;이종근
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.3
    • /
    • pp.227-232
    • /
    • 2002
  • The silylated photoresist etch process was tested by enhanced-ICP. The comparison of the two process results of micro pattern etching with $0.35\mu\textrm{m}$ CD by E-ICP and ICP reveals that I-ICP has bettor quality than ICP. The etch rate and the RIE lag effect was improved in E-ICP. Especially, the problem of the lateral etch was improved in E-ICP.

Surface Reaction of Na0.5K0.5NbO3 Thin Films in Inductively Coupled BCl3/Cl2/Ar Plasma (BCl3/Cl2/Ar 플라즈마에서의 Na0.5K0.5NbO3 박막의 표면반응)

  • Kim, Dong-Pyo;Um, Doo-Seung;Kim, Gwan-Ha;Woo, Jong-Chang;Kim, Chang-Il
    • Journal of the Korean institute of surface engineering
    • /
    • v.41 no.6
    • /
    • pp.269-273
    • /
    • 2008
  • The etch of $(Na_{0.5}K_{0.5})NbO_3$ (NKN) thin film was performed in $BCl_3/Cl_2/Ar$ inductively coupled plasma. It was found that the 1sccm addition $BCl_3$ (5%) into $Cl_2/Ar$ plasma caused a non-monotonic behavior of the NKN etch rate. The maximum etch rate of NKN was 95.3 nm/min at $BCl_3$ (1 sccm)/$Cl_2$ (16 sccm)/Ar (4 sccm), 800 W ICP power, 1 Pa pressure and 400 W bias power. The NKN etch rate shows a monotonic behavior a s the bias power increases. The analysis of the narrow scan spectra of XPS for both a s-deposited and etched NKN films allowed one to assume ion assisted etch mechanism. The most probable reason for the maximum etch rate can be defined as a concurrence of chemical and physical etch pathways.

Reactive ion etching characterization of SiC film deposited by thermal CVD method for MEMS application (MEMS 적용을 위한 thermal CVD 방법에 의해 증착한 SiC막의 etching 특성 평가)

  • Choi, Gi-Yong;Choi, Duck-Kyun;Park, Ji-Yeon;Kim, Tae-Song
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07b
    • /
    • pp.868-871
    • /
    • 2003
  • In recent years, silicon carbide has emerged as an important material for MEMS application. In order to fabricate an SiC film based MEMS structure by using chemical etching method, high operating temperature is required due to high chemical stability. Therefore, dry etching using plasma is the best solution. SiC film was deposited by thermal CVD at the temperature of $1000^{\circ}C$ and pressure of 10 torr. SiC was dry etched with a reactive ion etching (RIE) system, using $SF_6/O_2$ and $CF_4/O_2$ gas mixture. Etch rate have been investigated as a function of oxygen concentration in the gas mixture, RF power, and working pressure. Etch rate was measured by surface profiler and FE-SEM. $SF_6/O_2$ gas mixture has been shown high etch rate than $CF_4/O_2$ gas mixture. Maximum etch rate appeared at 450W of RF power. $O_2$ dilute mixtures resulted in an increasing of etch rate up to 40%, and the superior anisotropic cross section was observed.

  • PDF

Characteristics of Carbon Tetrafluoride Plasma Resistance of Various Glasses

  • Choi, Jae Ho;Han, Yoon Soo;Lee, Sung Min;Park, Hyung Bin;Choi, Sung Churl;Kim, Hyeong Jun
    • Journal of the Korean Ceramic Society
    • /
    • v.53 no.6
    • /
    • pp.700-706
    • /
    • 2016
  • Etch rate, surface roughness and microstructure as plasma resistance were evaluated for six kinds of oxide glass with different compositions. Borosilicate glass (BS) was found to be etched at the highest etch rate and zinc aluminum phosphate glass (ZAP) showed a relatively lower etch rate than borosilicate. On the other hand, the etching rate of calcium aluminosilicate glass (CAS) was measured to be similar to that of sintered alumina while yttrium aluminosilicate glass (YAS) showed the lowest etch rate. Such different etch rates by mixture plasma as a function of glass compositions was dependent on whether or not fluoride compounds were formed on glass and sublimated in high vacuum. Especially, in view that $CaF_2$ and $YF_3$ with high sublimation points were formed on the surface of CAS and YAS glasses, both CAS and YAS glasses were considered to be a good candidate for protective coating materials on the damaged polycrystalline ceramics parts in semi-conductor and display processes.

Newly Designed Ion Beam Etcher with High Etch Rate

  • Cheong, Hee-Woon
    • Journal of Magnetics
    • /
    • v.20 no.4
    • /
    • pp.366-370
    • /
    • 2015
  • New ion beam etcher (IBE) using a magnetized inductively coupled plasma (M-ICP) has been developed. The magnetic flux density distributions inside the upper chamber, where the plasma is generated by inductive coupling, were successfully optimized by arranging a pair of circular coils very carefully. More importantly, the proposed M-ICP IBE exhibits higher etch rate than ICP.