• Title/Summary/Keyword: HFNS

Search Result 1, Processing Time 0.017 seconds

Buffer Insertion-based HFNS for Efficient ASIC Implementation (효율적인 ASIC구현을 위한 버퍼 삽입 방식의 HFNS)

  • Jang, Seok Woo;Kim, Dong-Wook;Seo, Young-Ho
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.18 no.2
    • /
    • pp.415-424
    • /
    • 2014
  • In this paper, we proposed a practical methodology of HFNS (high fanout net synthesis) to use buffer insertion which has been applied in the fields. First, we proposed consideration to execute HFNS and detail techniques for it. Next we proposed post-process method which is necessary to obtain success of HFNS. The buffer-insertion based method for HFNS is a kind of popular technique, but we targeted a practical and commercial aspect of HHNS.