• 제목/요약/키워드: MUX

검색결과 130건 처리시간 0.029초

Inductorless 8.9 mW 25 Gb/s 1:4 DEMUX and 4 mW 13 Gb/s 4:1 MUX in 90 nm CMOS

  • Sekiguchi, Takayuki;Amakawa, Shuhei;Ishihara, Noboru;Masu, Kazuya
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제10권3호
    • /
    • pp.176- 184
    • /
    • 2010
  • A low-power inductorless 1:4 DEMUX and a 4:1 MUX for a 90 nm CMOS are presented. The DEMUX can be operated at a speed of 25 Gb/s with the power supply voltage of 1.05 V, and the power consumption is 8.9 mW. The area of the DEMUX core is $29\;{\times}\;40\;{\mu}m^2$. The operation speed of the 4:1 MUX is 13 Gb/s at a power supply voltage of 1.2 V, and the power consumption is 4 mW. The area of the MUX core is $30\;{\times}\;18\;{\mu}m^2$. The MUX/DEMUX mainly consists of differential pseudo-NMOS. In these MUX/DEMUX circuits, logic swing is nearly rail-to-rail, and a low $V_{dd}$. The component circuit is more scalable than a CML circuit, which is commonly used in a high-performance MUX/DEMUX. These MUX/DEMUX circuits are compatible with conventional CMOS logic circuit, and it can be directly connected to CMOS logic gates without logic level conversion. Furthermore, the circuits are useful for core-to-core interconnection in the system LSI or chip-to-chip communication within a multi-chip module, because of its low power, small footprint, and reasonable operation speed.

IMT-2000 망의 제어국에서 ATM 다중/역다중화 회로 설계 (Design of ATM Mux/demux Circuit in the BSC for IMT-2000 Network)

  • 이인환;이남준오돈성
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1998년도 추계종합학술대회 논문집
    • /
    • pp.51-54
    • /
    • 1998
  • In this paper, we describe the design of the ATM Mux/Demux circuit between BSC and MSC for IMT-2000 Network. This ATM Mux/Demux circuit culd support 155Mbps optic interface with MSC. Using the CAM and DPRAM, this circuit performs ATM cell Mux/Demux functions in the BSC. MPC 860SAR processor was used for the signaling with MSC in this design.

  • PDF

딜레이 보상 기법을 적용한 바이너리-트리 구조의 CMOS 16:1 멀티플렉서 (A CMOS 16:1 Binary-Tree Multiplexer applying Delay Compensation Techniques)

  • 손관수;김길수;김규영;김수원
    • 대한전자공학회논문지SD
    • /
    • 제45권2호
    • /
    • pp.21-27
    • /
    • 2008
  • 본 논문에서는 CMOS $0.18-{\mu}m$ 공정을 이용한 16:1 바이너리-트리 멀티플렉서(MUX)를 기술한다. 본 MUX는 넓은 동작속도 범위와 공정-온도 변화에서도 둔감하게 동작할 수 있도록 여러 딜레이 보상 기법들을 적용하였다. 제안하는 MUX는 넓은 동작속도 범위와 공정-온도 변화에서도 셋업 마진과 홀드 마진이 최적 값인 0.5UI를 약 0.05UI의 표준편차 내에서 유지할 수 있음을 모의실험을 통하여 확인하였다. 이러한 결과는 CMOS 로직 회로의 특성이 민감하게 변화함에도 불구하고 제안된 딜레이 보상 기법이 효과적으로 적용되었으며, 따라서 회로의 신뢰성이 매우 향상되었음을 나타낸다. 본 MUX는 $0.18-{\mu}m$ CMOS 공정을 이용하여 제작되었으며, 테스트 보드로 검증되었다. 전원 전압이 1.8-V인 환경에서, MUX의 최대 data-rate과 면적은 각각 1.65-Gb/s와 0.858 $mm^2$이고, 24.12 mW의 전력을 소모하며, 출력 eye opening은 1.65-Gb/s의 동작 환경에서 272.53 mV, 266.55 ps으로 측정되었다.

다중채널 초음파 프로브 고장진단을 위한 커패시턴스 측정 장치 구현 (Implementation of Capacitance Measurement Equipment for Fault Diagnosis of Multi-channel Ultrasonic Probe)

  • 강법주;김양수
    • 한국정보통신학회논문지
    • /
    • 제20권1호
    • /
    • pp.175-184
    • /
    • 2016
  • 본 논문에서는 기존의 LCR 미터에 의한 측정방식이 아니라 C/V(capacitance to voltage) 변환 방식을 이용하여 커패시턴스를 측정하는 방법을 제안하였다. 그리고 다중채널용 초음파 프로브 진단장치를 구현하기 위해 192채널들을 6개의 MUX(multiplexer) 채널로 변환하는 아날로그 MUX 회로를 설계하였다. 각각의 MUX 채널 회로별 전압을 다시 커패시턴스로 변환하는 회로특성이 다르기 때문에 각각의 MUX 채널별 디지털전압을 커패시턴스로 변환하는 변환함수를 최소 자승법을 이용하여 유도하였다. 개발된 시제품의 성능시험결과로 1회 측정시간이 4초 이내로 측정되었고, 192개 채널들의 반복적인 측정에서 최대값, 최소값, 평균값에 대한 측정 오차값이 5% 이내의 시험결과가 제시되었다.

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

  • Lee, Sung-Joon;Kim, Jaeha
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제14권6호
    • /
    • pp.760-767
    • /
    • 2014
  • This paper describes a high-radix crossbar switch design with low latency and power dissipation for Network-on-Chip (NoC) applications. The reduction in latency and power is achieved by employing a folded-clos topology, implementing the switch organized as three stages of low-radix switches connected in cascade. In addition, to facilitate the uniform placement of wires among the sub-switch stages, this paper proposes a Mux-Matrix-Mux structure, which implements the first and third switch stages as multiplexer-based crossbars and the second stage as a matrix-type crossbar. The proposed 256-radix, 8-bit crossbar switch designed in a 65nm CMOS has the simulated power dissipation of 1.92-W and worst-case propagation delay of 0.991-ns while operating at 1.2-V supply and 500-MHz frequency. Compared with the state-of-the-art designs in literature, the proposed crossbar switch achieves the best energy-delay-area efficiency of $0.73-fJ/cycle{\cdot}ns{\cdot}{\lambda}^2$.

Expandable Flash-Type CMOS Analog-to-Digital Converter for Sensor Signal Processing

  • Oh, Chang-Woo;Choi, Byoung-Soo;Kim, JinTae;Seo, Sang-Ho;Shin, Jang-Kyoo;Choi, Pyung
    • 센서학회지
    • /
    • 제26권3호
    • /
    • pp.155-159
    • /
    • 2017
  • The analog-to-digital converter (ADC) is an important component in various fields of sensor signal processing. This paper presents an expandable flash analog-to-digital converter (E-flash ADC) for sensor signal processing using a comparator, a subtractor, and a multiplexer (MUX). The E-flash ADC was simulated and designed in $0.35-{\mu}m$ standard complementary metal-oxide semiconductor (CMOS) technology. For operating the E-flash ADC, input voltage is supplied to the inputs of the comparator and subtractor. When the input voltage is lower than the reference voltage, it is outputted through the MUX in its original form. When it is higher than the reference voltage, the reference voltage is subtracted from the input value and the resulting voltage is outputted through the MUX. Operation of the MUX is determined by the output of the comparator. Further, the output of the comparator is a digital code. The E-flash ADC can be expanded easily.

최적의 MUX-based FPGA 설계를 위한 하드웨어 할당 알고리듬 (A Hardware Allocation Algorithm for Optimal MUX-based FPGA Design)

  • 인치호
    • 한국통신학회논문지
    • /
    • 제26권7B호
    • /
    • pp.996-1005
    • /
    • 2001
  • 본 논문에서는 ASIC 벤더의 셀 라이브러리와 MUX-based FPGA에 있는 고정된 입력을 갖는 연결구조의 수를 최소화하는 하드웨어 할당 알고리듬을 제안한다. 제안된 할당 알고리듬은 연산자간을 연결하는 신호선이 반복적으로 이용되어 연결 신호선 수가 최소가 될 수 있도록 연산자를 할당한다. 연결 구조를 고려한 이분할 그래프에 가중치를 설정하고 변수와 레지스터간의 최대 가중치 매칭을 구함으로써 레지스터 할당을 수행한다. 또한 연결구조에 대한 멀티플렉서의 중복 입력을 제거하고 연산자에 연결된 멀티플렉서간의 입력을 교환하는 입력 정렬 과정으로 연결구조를 최소화한다. 벤치마크 실험을 통하여 제안된 알고리즘의 효용성을 보인다.

  • PDF

FBG를 이용한 DWDM용 광 Add-Drop 다중화기에 관한 연구 (The Optical Add-Drop Multiplexer for DWDM Using Fiber Bragg Grating)

  • 손용환;신희성;허주옥;장우순;정진호
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2001년도 하계종합학술대회 논문집(1)
    • /
    • pp.237-240
    • /
    • 2001
  • Dense Wavelength division multiplexing(DWDM) lightwave system requires multiplexer, demultiplexer and optical filter. In this paper, thus, we propose the Add-Drop Mux/Demux based on a Mach-Zehnder interferometer(MZI) with fiber Bragg grating(FBG). The Add-Drop Mux/Demux using FBG and MZI is able to minimize system and reduce weight. We also analyze output characteristics of Add-Drop Mux/Demux and present the optimum design data through the computer simulation.

  • PDF

시스템 진단을 위한 실장 MUX의 검사패턴 생성 알고리즘 (The Test Pattern Generation Algorithm of Embedded MUX for the System Diagnosis.)

  • 이강현;김용덕
    • 전자공학회논문지B
    • /
    • 제30B권4호
    • /
    • pp.85-91
    • /
    • 1993
  • In this paper, we propose the test pattern generation algorithm of the embedded faulty MUX for the prevention of misdiagnosis of digital systems. When the system is partitioned with a large number of functional blocks, if the faults are exsisted in a embedded MUX then it can not diagnose the wanted observation of functional block. The proposed test pattern generstion algorithm can apply the MUXs that designd 2-level and multi-level both. Fault coverage becomes 100% and so it is no necessary of the additional fault simulation and the proposed algorithm that have the regulary and easily generated 2d test patterns. And we confirmed that the reduction of test cost becomes 85%, compared with the conventional segmentation testing scheme.

  • PDF

Micro spiral inductor를 이용한 2.5Gb/s급 2:1 Multiplexer 설계 (A 2.5Gb/s 2:1 Multiplexer Design Using Inductive Peaking in $0.18{\mu}m$ CMOS Technology)

  • 김선중;최정명;범진욱
    • 대한전자공학회논문지SD
    • /
    • 제44권8호
    • /
    • pp.22-29
    • /
    • 2007
  • [ $0.18{\mu}m$ ] CMOS공정을 이용하여 supply voltage 1.8V에서 2.5Gb/s 이상의 데이터 처리속도를 가지는 2:1 Multiplexer(MUX) 설계를 하였다. High speed 동작을 위한 주파수의 한계를 극복하기 위해서 4.7 nH의 on-chip micro spiral micro inductor $(20\times20{\mu}m2)$가 설계 되었고, 10개 이상의 inductor를 사용하고도 칩 면적 증가가 거의 없으면서 inductive peaking 효과를 극대화할 수 있었다. 칩 측정은 on-wafer로 진행되었고, micro spiral inductor가 있는 2:1 MUX와 그것이 없는 2:1 MUX 각각 측정하여 그 결과를 비교하였다. 측정결과 micro spiral inductor를 가진 2:1MUX가 rise time과 fall time이 1.25Gb/s에서는 rise time이 23%, fall time은 3%의 peaking 개선 효과가 있는 것을 확인하였다. 2.5Gb/s에서는 fall time이 약 5.3%, rise time 3.5%의 개선 효과를 보았다. 전체 소비전력은 61.2mW, 2.5Gb/s에서 voltage output swing은 $180mV_{p-p}$로 측정되었다.