• Title/Summary/Keyword: Mask Production Line

Search Result 10, Processing Time 0.028 seconds

Hierarchical Correlation-based Anomaly Detection for Vision-based Mask Filter Inspection in Mask Production Lines (마스크 생산 라인에서 영상 기반 마스크 필터 검사를 위한 계층적 상관관계 기반 이상 현상 탐지)

  • Oh, Gunhee;Lee, Hyojin;Lee, Heoncheol
    • IEMEK Journal of Embedded Systems and Applications
    • /
    • v.16 no.6
    • /
    • pp.277-283
    • /
    • 2021
  • This paper addresses the problem of vision-based mask filter inspection for mask production systems. Machine learning-based approaches can be considered to solve the problem, but they may not be applicable to mask filter inspection if normal and anomaly mask filter data are not sufficient. In such cases, handcrafted image processing methods have to be considered to solve the problem. In this paper, we propose a hierarchical correlation-based approach that combines handcrafted image processing methods to detect anomaly mask filters. The proposed approach combines image rotation, cropping and resizing, edge detection of mask filter parts, average blurring, and correlation-based decision. The proposed approach was tested and analyzed with real mask filters. The results showed that the proposed approach was able to successfully detect anomalies in mask filters.

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography

  • Ahn, Jin-Ho;Shin, Hyun-Duck;Jeong, Chang-Young
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.13-18
    • /
    • 2010
  • Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.

Fabrication of TFTs for LCD using 3-Mask Process

  • You, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • Journal of Information Display
    • /
    • v.6 no.3
    • /
    • pp.18-21
    • /
    • 2005
  • A new technology for reducing photolithography process from a four step to a three step process in the fabrication of TFT LCD is introduced. The core technology for 3-mask-TFT processes is the lift-off process [1], by which the PAS and PXL layers can be formed simultaneously. A different method of the lift-off process was developed in order to enhance the performance of efficiency with conventional positive and not negative PR which is the generally used in other lift-off process. In addition, the removal capacity of the ITO/PR in lift-off process was evaluated. The evaluation results showed that the new process can be run in conventional TFT production condition. In order to apply this new process in existing TFT process, several tests were conducted to ensure stability of the TFT process. It was found that the outgases from PR on the substrate in ITO sputtering chamber do not raise any problem, and the deposited ITO film beside the PR has conventional ITO qualities. Furthemore, the particles that were produced due to the ITO chips in PR strip bath could be reduced by the existing filtering system of stripper. With the development of total process and design of the structure for TFT using this technology, 3-mask-panels were achieved in TN and IPS modes, which showed the same display performances as those with the conventional 4mask process. The applicability and usefulness of the 3-mask process has already verified in the mass production line and in fact it currently being used for the production of some products.

Facilitation of the four-mask process by the double-layered Ti/Si barrier metal for oxide semiconductor TFTs

  • Hino, Aya;Maeda, Takeaki;Morita, Shinya;Kugimiya, Toshihiro
    • Journal of Information Display
    • /
    • v.13 no.2
    • /
    • pp.61-66
    • /
    • 2012
  • The double-layered Ti/Si barrier metal is demonstrated for the source/drain Cu interconnections in oxide semiconductor thin-film transistors (TFTs). The transmission electromicroscopy and ion mass spectroscopy analyses revealed that the double-layered barrier structure suppresses the interfacial reaction and the interdiffusion at the interface after thermal annealing at $350^{\circ}C$. The underlying Si layer was found to be very useful for the etch stopper during wet etching for the Cu/Ti layers. The oxide TFTs with a double-layered Ti/Si barrier metal possess excellent TFT characteristics. It is concluded that the present barrier structure facilitates the back-channel-etch-type TFT process in the mass production line, where the four- or five-mask process is used.

Liquid crystal display panel fabricated in dual mode

  • Wang, Yaping;Mo, Aiping;Jiang, Qingfeng
    • Journal of Information Display
    • /
    • v.13 no.1
    • /
    • pp.17-20
    • /
    • 2012
  • The current panels for liquid crystal display (LCD) TV sets are all characterized by pins placed on two sides. To manufacture one type of panel, a dedicated mask is needed, and the production line should be started once. Moreover, the whole panel needs to be removed if a dead pixel is found. If the panel, however, will first be fabricated with pins placed on four sides and then divided by a cross-cut into four equal-sized panels with pins placed on two sides, one set of masks can be used to manufacture two types of LCD panels, which was referred to by the authors as dual mode. In this paper, the concept of the dual mode and its differences from the conventional way of producing panels are introduced. Its advantages in boosting production efficiency, improving the product's good rate, and lowering the production cost are also described based on case studies. Of particular importance is the fact that the dual mode is very suitable for the trial production of very-large-area LCD TV sets.

The fabrication of TFTs for LCD using the 3mask process

  • Yoo, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07b
    • /
    • pp.948-951
    • /
    • 2005
  • New technology that reduces photolithography process steps from 4 to 3 in fabrication of TFT LCD is introduced. The core technology for 3mask-TFTs is the lift-off process [1], by which the PAS and PXL layer are formed simultaneously. To evaluate the stability of this lift-off process, outgases from photo resist on a substrate during ITO deposition and the quality of ITO film were analyzed and the conventional photo resist stripper machine which operates lift-off process was examined to see its ability to reduce particle problems of the machine. Through the development of total process and design for TFTs using this 3mask technology, panels in TN and IPS modes which exhibit same performances of a display using a conventional process were achieved. In addition, this process was already verified in the mass production line and now some products are being produced by the 3mask technology.

  • PDF

A Study on the Change of Masks for Goseong Ogwangdae Play - Before and after the designation of intangible cultural assets- (고성오광대 연희용 탈의 변화 양상)

  • Nam, Jin-A
    • (The) Research of the performance art and culture
    • /
    • no.41
    • /
    • pp.257-284
    • /
    • 2020
  • Goseong Ogwangdae started academic research in the late 1950s and was designated as a national intangible cultural asset in 1964. From the time of the academic survey to the time of designation, it was recorded as using paper masks, but when the recording image was filmed in 1965, it was already changed to wooden masks. In 1960, before being designated as an intangible cultural asset, the number of masks, which was 9 points, gradually increased to 19 points in 1964. It is necessarily included in the leper, Chorani, Malttuki, Cheongbo-Yangyang, Halmi, and Jemilju, but the character of the yangban is not yet clearly differentiated. Hwangbongsa and Sangju appeared as bare faces, and consumption, milling, Cheongbo-Yangyang are used together with Bibi and inspiration. It can be guessed that Bibi was not the appearance of a foreign object with horns as it is now, considering that Bibi and Madangsoi were used together. Since 1965, shortly after the designation, the whole of the Goseong Ogwangdae mask has been changed to a wooden mask. All the characters except for resident, courtyard, and top-of-the-line are wearing masks. Bibi, Hongbaek, and service masks have never appeared until 1964. The Yangban was changed to the closing ceremony with six people in the order of Won-Yangban, Baekje, Heukje, Cheongje, Hongbaek, and Jonggadoryong. Starting in 1969, the mask enters the stable period where the kind is the same as the present. Bibi-Yangban uses both the Won-Yangban and the Jemilju uses the Somu, but all other characters use the individual mask to use a total of 18 masks. The Yangbans are clearly differentiated, and a total of seven Yangban appear. The reason why the change in the type of mask and the expression of material is so large is that the first generation of mask makers died and the tradition of mask production was cut off, but there is also a cause of the extreme change in the environment of the drama that the performers who joined after the designation had to face. Also, it is closely related to the change of the times when the meaning and weight of masking in masking has changed. At that time, the performers were not so tied to the current concept of 'original form' that they preserved the appearance of the designated time. Originally, Goseong Ogwangdae was centered on improvisation dance, not the formalized dance as it is now, and there was a certain fluid aspect in the retelling, so it was flexible in the use of masks even before the designation of cultural assets. Strict rules did not apply in the details, as it was a self-sufficient play by the performers, not an offer event. The form and contents of this fluid play are changed to preparation for the performance while preparing for the folk art contest. As the subject of the contest in self-sufficient play, dance, costumes, and props became more and more colorful as well as dancing, costumes, and props. As a result, participation in the contest brought about changes in the overall performance and changed the mask, which was accepted within the preservation society.

A Study on the Camera Calibration Algorithm of Robot Vision Using Cartesian Coordinates

  • Lee, Yong-Joong
    • Transactions of the Korean Society of Machine Tool Engineers
    • /
    • v.11 no.6
    • /
    • pp.98-104
    • /
    • 2002
  • In this study, we have developed an algorithm by attaching a camera at the end-effector of industrial six-axis robot in order to determine position and orientation of the camera system from cartesian coordinates. Cartesian coordinate as a starting point to evaluate for suggested algorithm, it was easy to confront increase of orientation vector for a linear line point that connects two points from coordinate space applied by recursive least square method which includes previous data result and new data result according to increase of image point. Therefore, when the camera attached to the end-effector has been applied to production location, with a calibration mask that has more than eight points arranged, this simulation approved that it is possible to determine position and orientation of cartesian coordinates of camera system even without a special measuring equipment.

Maskless Fabrication of the Silicon Stamper for PDMS Nano/Micro Channel (나노/마이크로 PDMS 채널 제작을 위한 마스크리스 실리콘 스템퍼 제작 및 레오로지 성형으로의 응용)

  • 윤성원;강충길
    • Transactions of Materials Processing
    • /
    • v.13 no.4
    • /
    • pp.326-333
    • /
    • 2004
  • The nanoprobe based on lithography, mainly represented by SPM based technologies, has been recognized as a potential application to fabricate the surface nanosctructures because of its operational versatility and simplicity. However, nanoprobe based on lithography itself is not suitable for mass production because it is time a consuming method and not economical for commercial applications. One solution is to fabricate a mold that will be used for mass production processes such as nanoimprint, PDMS casting, and others. The objective of this study is to fabricate the silicon stamper for PDMS casting process by a mastless fabrication technique using the combination of nano/micro machining by Nanoindenter XP and KOH wet etching. Effect of the Berkovich tip alignment on the deformation was investigated. Grooves were machined on a silicon surface, which has native oxide on it, by constant load scratch (CLS), and they were etched in KOH solutions to investigate chemical characteristics of the machined silicon surface. After the etching process, the convex structures was made because of the etch mask effect of the mechanically affected layer generated by nanoscratch. On the basis of this fact, some line patterns with convex structures were fabricated. Achieved groove and convex structures were used as a stamper for PDMS casting process.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF