• Title/Summary/Keyword: Optical Emission Spectroscopy

Search Result 464, Processing Time 0.036 seconds

Chamber Monitoring with Residual Gas Analysis with Self-Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Lee, Hak-Seung;Park, Jeong-Geon;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.262.2-262.2
    • /
    • 2014
  • Plasma processing is an essential process for pattern etching and thin film deposition in nanoscale semiconductor device fabrication. It is necessary to maintain plasma chamber in steady-state in production. In this study, we determined plasma chamber state with residual gas analysis with self-plasma optical emission spectroscopy. Residual gas monitoring of fluorocarbon plasma etching chamber was performed with self-plasma optical emission spectroscopy (SPOES) and various chemical elements was identified with a SPOES system which is composed of small inductive coupled plasma chamber for glow discharge and optical emission spectroscopy monitoring system for measuring optical emission. This work demonstrates that chamber state can be monitored with SPOES and this technique can potentially help maintenance in production lines.

  • PDF

In-situ Endpoint Detection for Dielectric Films Plasma Etching Using Plasma Impedance Monitoring and Self-plasma Optical Emission Spectroscopy with Modified Principal Component Analysis

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.153-153
    • /
    • 2012
  • Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.

  • PDF

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

Silicon Etching Process of NF3 Plasma with Residual Gas Analyzer and Optical Emission Spectroscopy in Intermediate Pressure (잔류가스분석기 및 발광 분광 분석법을 통한 중간압력의 NF3 플라즈마 실리콘 식각 공정)

  • Kwon, Hee Tae;Kim, Woo Jae;Shin, Gi Won;Lee, Hwan Hee;Lee, Tae Hyun;Kwon, Gi-Chung
    • Journal of the Semiconductor & Display Technology
    • /
    • v.17 no.4
    • /
    • pp.97-100
    • /
    • 2018
  • $NF_3$ Plasma etching of silicon was conducted by injecting only $NF_3$ gas into reactive ion etching. $NF_3$ Plasma etching was done in intermediate pressure. Silicon etching by $NF_3$ plasma in reactive ion etching was diagnosed through residual gas analyzer and optical emission spectroscopy. In plasma etching, optical emission spectroscopy is generally used to know what kinds of species in plasma. Also, residual gas analyzer is mainly to know the byproducts of etching process. Through experiments, the results of optical emission spectroscopy during silicon etching by $NF_3$ plasma was analyzed with connecting the results of etch rate of silicon and residual gas analyzer. It was confirmed that $NF_3$ plasma etching of silicon in reactive ion etching accords with the characteristic of reactive ion etching.

Improved Self Plasma-Optical Emission Spectroscopy for In-situ Plasma Process Monitoring (실시간 플라즈마공정 모니터링을 위한 Self Plasma-Optical Emission Spectroscopy 성능 향상)

  • Jo, Kyung Jae;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.75-78
    • /
    • 2017
  • We reports improved monitoring performance of Self plasma-optical emission spectroscopy (SP-OES) by augmenting a by-pass tube to a conventional straight (or single) tube type self plasma reactor. SP-OES has been used as a tool for the monitoring of plasma chemistry indirectly in plasma process system. The benefits of SP-OES are low cost and easy installation, but some semiconductor industries who adopted commercialized SP-OES product experiencing less sensitivity and slow sensor response. OH out-gas chemistry monitoring was performed to have a direct comparison of a conventional single type tube and a by-pass type tube, and fluid dynamic simulation on the improved hardware design was also followed. It is observed faster pumping out of OH from the chamber in the by-pass type SP-OES.

  • PDF

Oxygen Plasma Characterization Analysis for Plasma Etch Process

  • Park, Jin-Su;Hong, Sang-Jeen
    • Journal of the Speleological Society of Korea
    • /
    • no.78
    • /
    • pp.29-31
    • /
    • 2007
  • This paper is devoted to a study of the characterization of the plasma state. For the purpose of monitoring plasma condition, we experiment on reactive ion etching (RIE) process. Without actual etch process, generated oxygen plasma, measurement of plasma emission intensity. Changing plasma process parameters, oxygen flow, RF power and chamber pressure have controlled. Using the optical emission spectroscopy (OES), we conform to the unique oxygen wavelength (777nm), the most powerful intensity region of the designated range. Increase of RF power and chamber pressure, emission intensity is increased. oxygen flow is not affect to emission intensity.

Relative Transmittance and Emission Intensity of Optical Emission Spectroscopy for Fault Detection Application of Reactive Ion Etching (Reactive Ion Etching에서 Optical Emission Spectroscopy의 투과율과 강도를 이용한 에러 감지 기술 제안)

  • Park, Jin-Su;Mun, Sei-Young;Cho, Il-Hwan;Hong, Sang-Jeen
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.473-474
    • /
    • 2008
  • This paper proposes that the relative transmittance and emission intensity measured via optical emission spectroscopy (OES) is a useful for fault detection of reactive ion etch process. With the increased requests for non-invasive as well as real-time plasma process monitoring for fault detection and classification (FDC), OES is suggested as a useful diagnostic tool that satisfies both of the requirements. Relative optical transmittance and emission intensity of oxygen plasma acquired from various process conditions are directly compared with the process variables, such as RF power, oxygen flow and chamber pressure. The changes of RF power and Pressure are linearly proportional to the emission intensity while the change of gas flow can be detected with the relative transmittance.

  • PDF

RF Plasma Processes Monitoring for Fluorocarbon Polluted Plasma Chamber Cleaning by Optical Emission Spectroscopy and Multivariate Analysis (Optical Emission Spectra 신호와 다변량분석기법을 통한 Fluorocarbon에 의해 오염된 반응기의 RF 플라즈마 세정공정 진단)

  • Jang, Hae-Gyu;Lee, Hak-Seung;Chae, Hui-Yeop
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2015.11a
    • /
    • pp.242-243
    • /
    • 2015
  • Fault detection using optical emission spectra with modified K-means cluster analysis and principal component anal ysis are demonstrated for inductive coupl ed pl asma cl eaning processes. The optical emission spectra from optical emission spectroscopy (OES) are used for measurement. Furthermore, Principal component analysis and K-means cluster analysis algorithm is modified and applied to real-time detection and sensitivity enhancement for fluorocarbon cleaning processes. The proposed techniques show clear improvement of sensitivity and significant noise reduction when they are compared with single wavelength signals measured by OES. These techniques are expected to be applied to various plasma monitoring applications including fault detections as well as chamber cleaning endpoint detection.

  • PDF

Plasma monitoring using optical emission spectroscopy and expert system (광반사분광기와 전문가 시스템을 이용한 플라즈마 감시)

  • Kim, Dae-Hyeon;Kim, Byeong-Hwan
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.10a
    • /
    • pp.235-236
    • /
    • 2009
  • 본 연구에서는 Optical emission spectroscopy (OES)에 CUSUM과 전문가 시스템을 이용하여 플라즈마를 감시하는 기법을 개발하였다. CUSUM과 Dempster-Shafer를 이용하여 고장에 민감한 OES파장을 추출하였으며, 추출된 파장은 플라즈마 감시에 이용될 것으로 기대된다.

  • PDF

Study on optical emission spectroscopic method for measuring OH radical distribution in rocket plume (로켓 플룸 내부 OH 라디칼 공간분포 계측을 위한 발광 분광 기법에 관한 연구)

  • Han, Kiwook;Hahn, Jae W.
    • Proceedings of the Korean Society of Propulsion Engineers Conference
    • /
    • 2017.05a
    • /
    • pp.1135-1139
    • /
    • 2017
  • Spatial distribution of chemical species in flame is a important indicator understanding the flame structure and combustion characteristics, and optical emission spectroscopy has been widely used for the measurement because of its simple and non-intrusive methodology. In this study, we suggest the feasibility of the measurement of chemical species (OH radical) distribution in rocket plume using optical emission spectrometer which was developed for the spatially resolved measurement along the line-of-sight. In order to predict the ground state concentration of species from the measured emission intensity by optical emission spectrometer, we consider thermal and chemical excitation mechanisms in flame, and assume thermodynamic equilibrium for the thermally excited species. We also present the spatial resolution and the correction of collection characteristics of the optical emission spectrometer depending on object distance.

  • PDF