• 제목/요약/키워드: Photoresist

검색결과 432건 처리시간 0.042초

PDMS의 접촉각 및 외부전압 변화에 따른 마이크로채널에서 유체의 속도변화 (Effect of Contact Angles of PDMS and External Voltage on Flow Velocity in Microchannel)

  • 이효송;김진용;김정수;이영우
    • Korean Chemical Engineering Research
    • /
    • 제43권1호
    • /
    • pp.92-97
    • /
    • 2005
  • 본 연구에서는 음성 감광제를 이용하여 모형을 제작하고, PDMS(polydimethylsiloxane)로 본을 뜬 후에 유리와 접합시켜 마이크로채널을 제작하였다. 특히 PDMS의 접촉각 변화에 따른 마이크로채널에서 유체의 속도변화를 측정하기 위하여, PDMS의 표면을 플라즈마를 이용하여 처리하였다. 표면처리된 PDMS의 접촉각은 $19^{\circ}$, $46^{\circ}$ 그리고 $69^{\circ}$였으며, 미처리된 PDMS의 접촉각은 $105^{\circ}$였다. 표면처리된 PDMS와 플라즈마 처리를 하지 않은 PDMS에 대하여 외부전압을 변화시켜서 마이크로채널에서의 유체의 속도를 측정하였다. 그 결과 동일한 접촉각을 갖는 PDMS에 대하여 외부전압을 변경시켰을 때, 외부전압이 증가할수록 유체의 속도가 비선형적으로 증가하였다. 이는 외부전압이 증가할수록 계면에서의 전하밀도가 증가하게 되고, 이로 인하여 전기이중층이 압축되어 표면전위가 증가하며, 따라서 제타전위의 값이 증가하기 때문인 것으로 해석된다. 또한, 동일한 외부전압에서 PDMS의 접촉각이 가장 작은 $19^{\circ}$일 때 유체의 속도가 가장 빠르게 나타났다. 이는 유체와 PDMS의 부착 정도에 따라 전기이중층 두께가 달라지고, 이러한 두께변화가 결과적으로 동일한 외부전압에서 접촉각의 크기에 따라 유체의 속도차이를 가져오는 것으로 사료된다.

질소가스 분위기의 저진공으로 증착된 Au-black의 적외선 흡수도 (Infrared absorbance of the Au-black deposited under nitrogen gas-filled low vacuum condition)

  • 오광식;김동진;김진섭;이정희;이용현;이재신;한석용
    • 대한전자공학회논문지SD
    • /
    • 제37권2호
    • /
    • pp.13-21
    • /
    • 2000
  • 장파장 적외선 흡수체로 응용하기 위한 Au-black을 질소가스 분위기의 저진공에서 Au를 증발원으로 하여 제조하였고, 증착조건에 따른 Au-black의 미세구조 분석, 적외선 흡수도 측정 및 패턴형성 실험을 통해 Au-black의 특성을 조사하였다. 단위면적당 질량이 약 600 $\mu\textrm{g}$/㎝/sup 2/이고, 챔버압력이 약 1 Torr이상인 증착조건으로 제조된 Au-black에서 적외선이 포획되는 높은 밀도의 미세공동이 존재하였고, 이 Au-black의 적외선 흡수도는 3∼14 $\mu\textrm{g}$의 파장범위에서 대체로 90%정도였다. 약 900 $\mu\textrm{g}$/cm/sup 2/이하의 단위면적당 질량을 갖는 Au-black의 경우 감광액 lift-off 공정에 의한 패턴형성이 가능하였다. 적외선 흡수도, 열용량 및 패턴형성을 고려할 매 적외선 흡수체로서의 Au-black을 제조하기 위해서는 챔버압력이 약 1 Torr이고, 단위면적당 질량이 약 600 $\mu\textrm{g}$/cm/sup 2/인 증착조건이 가장 적합하였다.

  • PDF

비아 크기가 솔더범프 형성에 미치는 영향 (Via-size Dependance of Solder Bump Formation)

  • 김성진;주철원;박성수;백규하;이상균;송민규
    • 마이크로전자및패키징학회지
    • /
    • 제8권1호
    • /
    • pp.33-38
    • /
    • 2001
  • 5인치 실리콘 기판위에 수 회 코팅기술을 이용하여 두꺼운 감광막을 얻은 후, 전기도금 법으로 솔더범프를 형성하고, 비아크기의 변화에 따른 리플로 전과, 후의 솔더범프 형성에 미치는 영향을 조사하였다. 리플로 전의 범프바닥 (bump bottom) 직경은 리플로 후에도 거의 변화가 없는 반면, 솔더범프 모양은 패턴된 비아직경 크기에 크게 의존했다. 비아직경이 클수록 높은 도금효율을 보였다. 비아직경이 작을수록 리플로 후의 범프는 리플로 전의 범프높이와 비교하여 크게 낮아졌지만, aspect ratio는 크다는 것을 알았다. 고밀도와 고aspect ratio를 갖는 범프를 얻기 위하여 비아직경과 범프피치를 줄여야하지만, 과도금 (overplating), 또는 리플로를 할 때 최인접 간 범프끼리 맞닿을 수 있기 때문에 최인접 간 범프거리 확보는 중요하다. 비아높이(film두께)를 높게 하여 과도금을 하지 않고 비아높이가지만 도금하여 과도금으로 인한 최인접 범프끼리의 맞닿음을 없애는 방법과 범프배열을 zig-zag로 하는 방법을 혼용하면 과도금, 또는 리플로를 할 때 최인접 범프 간에 맞닿는 문제는 어느 정도 해결할 수 있다.

  • PDF

고밀도 플라즈마 식각에 의한 CoTb과 CoZrNb 박막의 식각 특성 (Etch Characteristics of CoTb and CoZrNb Thin Films by High Density Plasma Etching)

  • 신별;박익현;정지원
    • Korean Chemical Engineering Research
    • /
    • 제43권4호
    • /
    • pp.531-536
    • /
    • 2005
  • 포토리지스트 마스크로 패턴된 CoTb 및 CoZrNb 자성 박막에 대한 유도 결합 플라즈마 반응성 이온 식각이 $Cl_2/Ar$$C_2F_6/Ar$ 가스를 이용하여 진행되었고 식각 속도와 식각 프로파일 측면에서 조사되었다. $Cl_2$$C_2F_6$ 가스의 농도가 증가함에 따라서 자성 박막들의 식각 속도는 감소하였고 식각 경사는 낮아졌다. 자성 박막들의 식각 가스로서 $Cl_2/Ar$이 빠른 식각 속도와 가파른 식각 경사를 얻는데 있어서 $C_2F_6/Ar$ 보다 더 효과적이었다. Coil rf power의 증가는 플라즈마 내의 Ar 이온과 라디칼의 밀도를 증가시키고 dc bias voltage의 증가는 기판으로 스퍼터되는 Ar 이온의 에너지를 증가시키기 때문에 coil rf power와 dc bias voltage가 증가할수록 식각 속도와 식각 경사는 증가하였지만 패턴의 측면에서 재증착이 일어났다. 자성 박막들의 적층으로 형성된 magnetic tunnel junction stack에 고밀도 플라즈마 반응성 이온 식각을 적용하여, 높은 식각 경사와 재증착이 없는 깨끗한 식각 프로파일을 얻었다.

$Cl_2/BCl_3$/Ar 유도 결합 플라즈마에서 온도에 따른 $ZrO_2$ 박막의 식각 (Temperature Dependence on Dry Etching of $ZrO_2$ Thin Films in $Cl_2/BCl_3$/Ar Inductively Coupled Plasma)

  • 양설;김동표;이철인;엄두승;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.145-145
    • /
    • 2008
  • High-k materials have been paid much more attention for their characteristics with high permittivity to reduce the leakage current through the scaled gate oxide. Among the high-k materials, $ZrO_2$ is one of the most attractive ones combing such favorable properties as a high dielectric constant (k= 20 ~ 25), wide band gap (5 ~ 7 eV) as well as a close thermal expansion coefficient with Si that results in good thermal stability of the $ZrO_2$/Si structure. During the etching process, plasma etching has been widely used to define fine-line patterns, selectively remove materials over topography, planarize surfaces, and trip photoresist. About the high-k materials etching, the relation between the etch characteristics of high-k dielectric materials and plasma properties is required to be studied more to match standard processing procedure with low damaged removal process. Among several etching techniques, we chose the inductively coupled plasma (ICP) for high-density plasma, easy control of ion energy and flux, low ownership and simple structure. And the $BCl_3$ was included in the gas due to the effective extraction of oxygen in the form of $BCl_xO_y$ compounds. During the etching process, the wafer surface temperature is an important parameter, until now, there is less study on temperature parameter. In this study, the etch mechanism of $ZrO_2$ thin film was investigated in function of $Cl_2$ addition to $BCl_3$/Ar gas mixture ratio, RF power and DC-bias power based on substrate temperature increased from $10^{\circ}C$ to $80^{\circ}C$. The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by scanning emission spectroscope (SEM). The chemical state of film was investigated using energy dispersive X-ray (EDX).

  • PDF

간단한 자기 조립 기법으로 배열된 단일벽 탄소 나노 튜브 센서의 제작공정 (Fabrication Process of Single-walled Carbon Nanotube Sensors Aligned by a Simple Self-assembly Technique)

  • 김경헌;김선호;변영태
    • 전자공학회논문지SC
    • /
    • 제48권2호
    • /
    • pp.28-34
    • /
    • 2011
  • 이전 보고에서 우리는 오직 포토리소그래피(photolithography) 공정만을 이용하여 단일벽 탄소 나노튜브 (single-walled carbon nanotube; SWCNT)를 산화막 (silicon-dioxide; $SiO_2$)이 형성된 실리콘 (silicon; Si) 기판위에 선택적으로 흡착시키는 공정 방법에 대해 조사했었다. 본 논문에서, 우리는 위에서 설명한 기법을 이용하여 단일벽 탄소 나노튜브 채널을 가진 전계효과 트랜지스터 (field emission transistor; FET)를 제작하였다. 또한, 제작된 단일벽 탄소 나노튜브 기반 전계효과 트랜지스터 소자의 게이트 전압에 따른 전류 전압특성이 조사되었다. 이 전계효과 트랜지스터는 센서로서 작동될 수 있다. 포토리소그래피 공정에 의해 열산화막이 형성된 실리콘 기판 표면위에 단일벽 탄소 나노튜브가 흡착될 부분(채널부분)의 포토레지스트가 노출되도록 포토레지스트 패턴이 형성된다. 이 포토레지스트 패턴이 형성된 기판은 단일벽 탄소 나노튜브가 분산된 다이클로로벤젠 (dichlorobenzene; DCB) 용액 속에 담가진다. 남아 있는 포토레지스트 패턴이 아세톤에 의해 제거 되면, 결과적으로 채널부분 (소오스와 드레인 전극사이) 에 선택적으로 단일벽 탄소 나노튜브 채널이 형성된다. 이 간단한 가기 조립 기술이 이용됨으로써 우리는 단일벽 탄소 나노튜브 채널을 가진 4개의 전계효과 트랜지스터 어레이를 성공적으로 제작하였다.

W-Band MMIC를 위한 T-형태 게이트 구조를 갖는 MHMET 소자 특성 (Characteristics of MHEMT Devices Having T-Shaped Gate Structure for W-Band MMIC)

  • 이종민;민병규;장성재;장우진;윤형섭;정현욱;김성일;강동민;김완식;정주용;김종필;서미희;김소수
    • 한국전기전자재료학회논문지
    • /
    • 제33권2호
    • /
    • pp.99-104
    • /
    • 2020
  • In this study, we fabricated a metamorphic high-electron-mobility transistor (mHEMT) device with a T-type gate structure for the implementation of W-band monolithic microwave integrated circuits (MMICs) and investigated its characteristics. To fabricate the mHEMT device, a recess process for etching of its Schottky layer was applied before gate metal deposition, and an e-beam lithography using a triple photoresist film for the T-gate structure was employed. We measured DC and RF characteristics of the fabricated device to verify the characteristics that can be used in W-band MMIC design. The mHEMT device exhibited DC characteristics such as a drain current density of 747 mA/mm, maximum transconductance of 1.354 S/mm, and pinch-off voltage of -0.42 V. Concerning the frequency characteristics, the device showed a cutoff frequency of 215 GHz and maximum oscillation frequency of 260 GHz, which provide sufficient performance for W-band MMIC design and fabrication. In addition, active and passive modeling was performed and its accuracy was evaluated by comparing the measured results. The developed mHEMT and device models could be used for the fabrication of W-band MMICs.

Splitting of Surface Plasmon Resonance Peaks Under TE- and TM-polarized Illumination

  • Yoon, Su-Jin;Hwang, Jeongwoo;Lee, Myeong-Ju;Kang, Sang-Woo;Kim, Jong-Su;Ku, Zahyun;Urbas, Augustine;Lee, Sang Jun
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.296-296
    • /
    • 2014
  • We investigate experimentally and theoretically the splitting of surface plasmon (SP) resonance peaks under TE- and TM-polarized illumination. The SP structure at infrared wavelength is fabricated with a 2-dimensional square periodic array of circular holes penetrating through Au (gold) film. In brief, the processing steps to fabricate the SP structure are as follows. (i) A standard optical lithography was performed to produce to a periodic array of photoresist (PR) circular cylinders. (ii) After the PR pattern, e-beam evaporation was used to deposit a 50-nm thick layer of Au. (iii) A lift-off processing with acetone to remove the PR layer, leading to final structure (pitch, $p=2.2{\mu}m$; aperture size, $d=1.1{\mu}m$) as shown in Fig. 1(a). The transmission is measured using a Nicolet Fourier-transform infrared spectroscopy (FTIR) at the incident angle from $0^{\circ}$ to $36^{\circ}$ with a step of $4^{\circ}$ both in TE and TM polarization. Measured first and second order SP resonances at interface between Au and GaAs exhibit the splitting into two branches under TM-polarized light as shown in Fig. 1(b). However, as the incidence angle under TE polarization is increased, the $1^{st}$ order SP resonance peak blue-shifts slightly while the splitting of $2^{nd}$ order SP resonance peak tends to be larger (not shown here). For the purpose of understanding our experimental results qualitatively, SP resonance peak wavelengths can be calculated from momentum matching condition (black circle depicted in Fig. 2(b)), $k_{sp}=k_{\parallel}{\pm}iG_x{\pm}jG_y$, where $k_{sp}$ is the SP wavevector, $k_{\parallel}$ is the in-plane component of incident light wavevector, i and j are SP coupling order, and G is the grating momentum wavevector. Moreover, for better understanding we performed 3D full field electromagnetic simulations of SP structure using a finite integration technique (CST Microwave Studio). Fig. 1(b) shows an excellent agreement between the experimental, calculated and CST-simulated splitting of SP resonance peaks with various incidence angles under TM-polarized illumination (TE results are not shown here). The simulated z-component electric field (Ez) distribution at incident angle, $4^{\circ}$ and $16^{\circ}$ under TM polarization and at the corresponding SP resonance wavelength is shown in Fig. 1(c). The analysis and comparison of theoretical results with experiment indicates a good agreement of the splitting behavior of the surface plasmon resonance modes at oblique incidence both in TE and TM polarization.

  • PDF

Magnetized inductively coupled plasma etching of GaN in $Cl_2/BCl_3$ plasmas

  • Lee, Y.H.;Sung, Y.J.;Yeom, G.Y.
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 1999년도 추계학술발표회 초록집
    • /
    • pp.49-49
    • /
    • 1999
  • In this study, $Cl_2/BCI_3$ magnetized inductively coupled plasmas (MICP) were used to etch GaN and the effects of magnetic confinements of inductively coupled plasmas on the GaN etch characteristics were investigated as a function of $Cl_2/BCI_3$. Also, the effects of Kr addition to the magnetized $Cl_2/BCI_3$ plasmas on the GaN etch rates were investigated. The characteristics of the plasmas were estimated using a Langmuir probe and quadrupole ma~s spectrometry (QMS). Etched GaN profiles were observed using scanning electron microscopy (SEM). The small addition of $Cl_2/BCI_3$ (10-20%) in $Cl_2$ increased GaN etch rates for both with and without the magnetic confinements. The application of magnetic confinements to the $Cl_2/BCI_3$ inductively coupled plasmas (ICP) increased GaN etch rates and changed the $Cl_2/BCI_3$ gas composition of the peak GaN etch rate from 10% $BCI_3$ to 20% $BCI_3$. It also increased the etch selectivity over photoresist, while slightly reducing the selectivity over $Si0_2$. The application of the magnetic field significantly increased positive $BCI_2{\;}^+$ measured by QMS and total ion saturation current measured by the Langmuir probe. Other species such as CI, BCI, and CI+ were increased while species such as $BCl_2$ and $BCI_3$ were decreased with the application of the magnetic field. Therefore, it appears that the increase of GaN etch rate in our experiment is related to the increased dissociative ionization of $BCI_3$ by the application of the magnetic field. The addition of 10% Kr in an optimized $Cl_2/BCI_3$ condition (80% $Cl_2/$ 20% $BCI_3$) with the magnets increased the GaN etch rate about 60%. More anisotropic GaN etch profile was obtained with the application of the magnetic field and a vertical GaN etch profile could be obtained with the addition of 10% Kr in an optimized $Cl_2/BCI_3$ condition with the magnets.

  • PDF

Data Qualification of Optical Emission Spectroscopy Spectra in Resist/Nitride/Oxide Etch: Coupon vs. Whole Wafer Etching

  • Kang, Dong-Hyun;Pak, Soo-Kyung;Park, George O.;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.433-433
    • /
    • 2012
  • As the requirement in patterning geometry continuously shrinks down, the termination of etch process at the exact time became crucial for the success in nano patterning technology. By virtue of real-time optical emission spectroscopy (OES), etch end point detection (EPD) technique continuously develops; however, it also faced with difficulty in low open ratio etching, typically in self aligned contact (SAC) and one cylinder contact (OCS), because of very small amount of optical emission from by-product gas species in the bulk plasma glow discharge. In developing etching process, one may observe that coupon test is being performed. It consumes costs and time for preparing the patterned sample wafers every test in priority, so the coupon wafer test instead of the whole patterned wafer is beneficial for testing and developing etch process condition. We also can observe that etch open area is varied with the number of coupons on a dummy wafer. However, this can be a misleading in OES study. If the coupon wafer test are monitored using OES, we can conjecture the endpoint by experienced method, but considering by data, the materials for residual area by being etched open area are needed to consider. In this research, we compare and analysis the OES data for coupon wafer test results for monitoring about the conditions that the areas except the patterns on the coupon wafers for real-time process monitoring. In this research, we compared two cases, first one is etching the coupon wafers attached on the carrier wafer that is covered by the photoresist, and other case is etching the coupon wafers on the chuck. For comparing the emission intensity, we chose the four chemical species (SiF2, N2, CO, CN), and for comparing the etched profile, measured by scanning electron microscope (SEM). In addition, we adopted the Dynamic Time Warping (DTW) algorithm for analyzing the chose OES data patterns, and analysis the covariance and coefficient for statistical method. After the result, coupon wafers are over-etched for without carrier wafer groups, while with carrier wafer groups are under-etched. And the CN emission intensity has significant difference compare with OES raw data. Based on these results, it necessary to reasonable analysis of the OES data to adopt the pre-data processing and algorithms, and the result will influence the reliability for relation of coupon wafer test and whole wafer test.

  • PDF