• Title/Summary/Keyword: Photoresist

Search Result 432, Processing Time 0.023 seconds

Fabrication of Tip of Probe Card Using MEMS Technology (MEMS 기술을 이용한 프로브 카드의 탐침 제작)

  • Lee, Keun-Woo;Kim, Chang-Kyo
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.14 no.4
    • /
    • pp.361-364
    • /
    • 2008
  • Tips of probe card were fabricated using MEMS technology. P-type silicon wafer with $SiO_2$ layer was used as a substrate for fabricating the probe card. Ni-Cr and Au used as seed layer for electroplating Ni were deposited on the silicon wafer. Line patterns for probing devices were formed on silicon wafer by electroplating Ni through mold which formed by MEMS technology. Bridge structure was formed by wet-etching the silicon substrate. AZ-1512 photoresist was used for protection layer of back side and DNB-H100PL-40 photoresist was used for patterning of the front side. The mold with the thickness of $60{\mu}m$ was also formed using THB-120N photoresist and probe tip with thickness of $50{\mu}m$ was fabricated by electroplating process.

Formation of Fine Pitch Solder Bumps on Polytetrafluoroethylene Printed Circuit Board using Dry Film Photoresist (Dry Film Photoresist를 이용한 테프론 PCB 위 미세 피치 솔더 범프 형성)

  • Lee Jeong Seop;Ju Geon Mo;Jeon Deok Yeong
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2003.11a
    • /
    • pp.169-173
    • /
    • 2003
  • We demonstrated the applicability of dry film photoresist (DFR) in photolithography process for fine pitch solder bumping on the polytetrafluoroethylene (PTFE/Teflon) printed circuit board (PCB). The copper lines were formed with $100\;{\mu}m$ width and $18\;{\mu}m$ thickness on the PTFE test board, and varying the gaps between two copper lines in a range of $100-200\;{\mu}m$. The DFRs of $15\;{\mu}m$ thickness were laminated by hot roll laminator, by varying laminating temperature from $100^{\circ}C\;to\;150^{\circ}C$ and laminating speed. We found the optimum process of DFR lamination on PTFE PCB and accomplished the formation of indium solder bumps. The optimum lamination condition was temperature of $150^{\circ}C$ and speed of about 0.63 cm/s. And the smallest size of indium solder bump was diameter of $50\;{\mu}m$ with pitch of $100\;{\mu}m$.

  • PDF

Characterization of Photoresist Processing by Statistical Design of Experiment (DOE)

  • Kim, Gwang-Beom;Park, Jae-Hyun;Soh, Dae-Wha;Hong, Sang-Jeen
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.43-44
    • /
    • 2005
  • SU-8 is a epoxy based photoresist designed for MEMS applications, where a thick, chemically and thermally stable image is desired. But SU-8 has proven to be very sensitive to variation in processing variables and hence difficult to use in the fabrication of useful structures. In this paper, negative SU-8 photoresist processed has been characterized in terms of delamination. Based on a full factorial designed experiment. Employing the design of experiment (DOE), a process parameter is established, and analyzing of full factional design is generated to investigate degree of delamination associated with three process parameters: post exposure bake (PEB) temperature, PEB time, and exposure energy. These results identify acceptable ranges of the three process variables to avoid delamination of SU-8 film, which in turn might lead to potential defects in MEMS device fabrication.

  • PDF

Fabrication of 3-D Structures by Inclined and Rear-side Exposures (선택적 경사 노광과 후면 노광에 의한 3차원 구조물의 제작)

  • 이준섭;신현준;문성욱;송석호;김태엽
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.53 no.1
    • /
    • pp.47-52
    • /
    • 2004
  • 3D microstructures with different side-wall angles and different scales are fabricated by both methods of inclined exposure and rear-side exposure at each of selected areas on a same substrate. Conventional methods of inclined exposure are used to make side-walls with a same inclined angle on one substrate and to get a scale error due to front-side exposure through thick photoresist layer, But, by using the proposed method, we are able to fabricate 3D microstructures on a same substrate with various side-wall angles and accurate dimensions as the original design. In the rear-side exposure, UV exposure light reflects from the chromium mask pattern after passing through the thick photoresist layer, resulting in fabrication of well-defined, inclined 3D structures inside the thick photoresist layer.

Forming a Fresnel Zone Lens: Effects of Photoresist on Digital-micromirror-device Maskless Lithography with Grayscale Exposure

  • Huang, Yi-Hsiang;Jeng, Jeng-Ywan
    • Journal of the Optical Society of Korea
    • /
    • v.16 no.2
    • /
    • pp.127-132
    • /
    • 2012
  • This study discusses photoresist forming using a composite grayscale to fabricate a Fresnel lens. Grayscale lithography is a common production method used to facilitate the forming of lenses with different curvatures and depths. However, this approach is time consuming and expensive. This study proposes a method for overcoming these obstacles by integrating a digital micromirror device and microscope to supplant the traditional physical grayscale mask. This approach provides a simple and practical maskless optical lithography system. According to the results, the two adjacent grayscales displayed substantial differences between the high grayscale and influence the low grayscale that ultimately affected photoresist formation. Furthermore, we show that change of up to 150% in the slope can be achieved by changing the grayscale gradient in the central zone and the ring profile. The results of the optical experiment show a focus change with different gray gradients.

Characterization of Negative Photoresist Processing by Statistical Design of Experiment (DOE)

  • Mun Sei-Young;Kim Gwang-Beom;Soh Dea-Wha;Hong Sang Jeen
    • Journal of information and communication convergence engineering
    • /
    • v.3 no.4
    • /
    • pp.191-194
    • /
    • 2005
  • SU-8 is a epoxy based photoresist designed for MEMS applications, where a thick, chemically and thermally stable image are desired. However SU-8 has proven to be very sensitive to variation in processing variables and hence difficult to use in the fabrication of useful structures. In this paper, negative SU-8 photoresist processed has been characterized in terms of delamination, based on a full factorial designed experiment. Employing the design of experiment (DOE), a process parameter is established, and analyzing of full factorial design is generated to investigate degree of delamination associated with three process parameters: post exposure bake (PEB) temperature, PEB time, and exposure energy. These results identify acceptable ranges of the three process variables to avoid delamination of SU-8 film, which in turn might lead to potential defects in MEMS device fabrication.

A Study on the Realization of the High Efficiency LCD Photoresist Removal Technology (고효율 LCD 감광막 제거기술 구현 연구)

  • Son, Young-Su;Ham, Sang-Yong;Kim, Byoung-Inn;Lee, Sung-Hwee
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.11
    • /
    • pp.977-982
    • /
    • 2007
  • The realization of the photoresist(PR) removal method with vaporized water and ozone gas mixture has been studied for the LCD TFT array manufacturing. The developed PR stripper uses the water boundary layer control method based on the high concentration ozone production technology. We develop the prototype of PR stripper and experiment to find the optimal process parameter condition like as the ozone gas flow/concentration, process reaction time and thin boundary layer formation. As a results, we realize the LCD PR strip rate over the 0.4 ${\mu}m/min$ and this PR removal rate is more than 5 times higher than the conventional immersion type ozonized water process.

A Study on the Ablation of AZ5214 and SU-8 Photoresist Processed by 355nm UV Laser (355nm UV 레이저를 이용한 AZ5214와 SU-8 포토레지스트 어블레이션에 관한 연구)

  • Oh, J.Y.;Shin, B.S.;Kim, H.S.
    • Laser Solutions
    • /
    • v.10 no.2
    • /
    • pp.17-24
    • /
    • 2007
  • We have studied a laser direct writing lithography(LDWL). This is more important to apply to micro patterning using UV laser. We demonstrate the possibility of LDWL and construct the fabrication system. We use Galvano scanner to process quickly micro patterns from computer data. And laser beam is focused with $F-{\theta}$ lens. AZ5214 and SU-8 photoresist are chosen as experimental materials and a kind of well-known positive and negative photoresist respectively. Laser ablation mechanism depends on the optical properties of polymer. In this paper, therefore we investigate the phenomenon of laser ablation according to the laser fluence variation and measure the shape profile of micro patterned holes. From these experimental results, we show that LDWL is very useful to process various micro patterns directly.

  • PDF

Synthesis and Photocharacteristics of Trihydric Phenol Photoresist (Trihydric Phenol계 Photoresist의 합성과 그 감광 특성)

  • Hong, Eui-Suk;Ko, Jae-Yong;Park, Hong-Soo
    • Journal of the Korean Applied Science and Technology
    • /
    • v.13 no.1
    • /
    • pp.47-54
    • /
    • 1996
  • Cinnamoyl ester(PGEFC) of poly(phloroglucinol-formaldehyde) glycidyl ether which has photosensitive functional group was prepared to apply to photoresist. Photosensitivity of PGEFC was estimated by the solubility difference in organic solvent before and after exposure to light. The yield of residual film was calculated by immersing the sample-coated quartz plates in the solvent which was used in coating. The yield of the residual film which was closely related to the sensitivity of the film, was affected by the degree of polymerization of the backbone resin, sensitizers and their concentration. The sensitivity was depended upon the degree of polymerization. Most of effective sensitizer for PGEFC among the sensitizers was 2, 6-dichloro-4-nitroaniline.

Characteristics of the Oxygen Plasma and Its Application to Photoresist Stripping (산소 플라즈마의 특성과 포토레지스트 제거에의 응용)

  • Whang, Ki Woong;Lee, Jong Duk;Kim, Joung Ho
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.24 no.1
    • /
    • pp.73-78
    • /
    • 1987
  • The physical mechanism of a RF discharge used in photoresist stripping and etching process are not well understood and, plasma reactor design and the determination of optimum operating coditions are done largely on empirical basis. We analyzed the discharge process through the measurement of plasma characteristics and applied out results tothe analysis of the photoresist stripping. We investigated the effects of plasma electron density, neutral oxygen gas pressure and electrode temperature on the stripping rates and related their effects with the characteristics of plasma.

  • PDF