• 제목/요약/키워드: in situ detection

검색결과 306건 처리시간 0.028초

In situ PCR에 의한 alcelaphine herpesvirus-l (AHV-l)의 진단법 개발 및 다른 분자생물학적 진단법들과의 비교 (In situ PCR for the Detection of Alcelaphine Herpesvirus-l and Comparison with other Molecular Biological Diagnostic Methods)

  • 김옥진
    • 한국수의병리학회지
    • /
    • 제6권1호
    • /
    • pp.1-5
    • /
    • 2002
  • A1celaphine herpesvirus 1 (AHV-1) is a causative agent of malignant catarrhal fever which is a fatal and a lymphoproliferative syndrome. AHV-1 is a gamma herpesvirus, which induces frequent latent infection and often difficult to detect its antigens or specific nucleic acids because of its low viral copies in the infected tissues. A new method, in situ PCR, is developed for the detection of AHV-1 nucleic acid in this study. Target sequences of AHV-1 open reading frame 50 gene were detected within AHV-1 infected MDBK cells. As compare with other molecular biological methods for the detection of AHV-1, in situ PCR was found to be more sensitive than in situ hybridization and to be less sensitive than nested PCR. However, nested PCR cannot afford to observe and differentiate AHV-1 infected cells. In situ PCR amplifies a target sequence within cells that can be visualized microscopically with increased sensitivity compared to detection by in situ hybridization. In situ PCR has wide applications for sensitive localization of low copy AHV-1 viral sequences within cells to investigate the role of viruses in a variety of clinical conditions and also provide the rapid, sensitive, and specific detection of AHV-1 infection.

  • PDF

감압상태에서의 In-Situ Particle Monitor의 성능특성 (Performance Characteristics of In-Situ Particle Monitors at Sub-Atmospheric Pressure)

  • 배귀남
    • 대한기계학회논문집B
    • /
    • 제22권11호
    • /
    • pp.1564-1570
    • /
    • 1998
  • In-situ particle monitors(ISPMs) are widely used for monitoring contaminant particles in vacuum-based semiconductor manufacturing equipment. In the present research, the performance of a Particle Measuring Systems(PMS) Vaculaz-2 ISPM at subatmospheric pressures has been studied. We created uniform upstream conditions of particle concentration and measured the detection efficiency, the lower detection limit, and the size response of the ISPM using uniform sized methylene blue aerosol particles. The effect of particle size, particle velocity, particle concentration, and system pressure on the detection efficiency was examined. Results show that the detection efficiency of the ISPM decreases with decreasing chamber pressure, and with increasing mass flow rate. The lower detection limit of the ISPM, determined at 50 % of the measured maximum detection efficiency, was found to be about $0.15{\sim}0.2{\mu}m$, which is similar to the minimum detectable size of $0.17{\mu}$ given by the manufacturer.

저압상태에서 공기역학적 렌즈를 이용한 In-Situ Particle Monitor의 성능특성 분석 (Investigation of the Performance Characteristics of an In-Situ Particle Monitor at Low Pressures Using Aerodynamic Lenses)

  • 배귀남
    • 대한기계학회논문집B
    • /
    • 제24권10호
    • /
    • pp.1359-1367
    • /
    • 2000
  • In-situ particle monitors(ISPMs) are widely used for monitoring contaminant particles in vacuum-based semiconductor manufacturing equipment. In the present research, the performance of a Particle Measuring Systems(PMS) Vaculaz-2 ISPM at low pressures has been studied. We generated the uniform sized methylene blue particle beams using three identical aerodynamic lenses in the center of the vacuum line, and measured the detection efficiency of the ISPM. The effects of particle size, particle concentration, mass flow rate, system pressure, and arrangement of aerodynamic lenses on the detection efficiency of the ISPM were examined. Results show that the detection efficiency of the ISPM greatly depends on the mass flow rate, and the particle Stokes number. We also found that the optimum Stokes number ranges from 0.4 to 1.9 for the experimental conditions.

In-situ Endpoint Detection for Dielectric Films Plasma Etching Using Plasma Impedance Monitoring and Self-plasma Optical Emission Spectroscopy with Modified Principal Component Analysis

  • 장해규;채희엽
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.153-153
    • /
    • 2012
  • Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.

  • PDF

Elicitation of Innate Immunity by a Bacterial Volatile 2-Nonanone at Levels below Detection Limit in Tomato Rhizosphere

  • Riu, Myoungjoo;Kim, Man Su;Choi, Soo-Keun;Oh, Sang-Keun;Ryu, Choong-Min
    • Molecules and Cells
    • /
    • 제45권7호
    • /
    • pp.502-511
    • /
    • 2022
  • Bacterial volatile compounds (BVCs) exert beneficial effects on plant protection both directly and indirectly. Although BVCs have been detected in vitro, their detection in situ remains challenging. The purpose of this study was to investigate the possibility of BVCs detection under in situ condition and estimate the potentials of in situ BVC to plants at below detection limit. We developed a method for detecting BVCs released by the soil bacteria Bacillus velezensis strain GB03 and Streptomyces griseus strain S4-7 in situ using solid-phase microextraction coupled with gas chromatography-mass spectrometry (SPME-GC-MS). Additionally, we evaluated the BVC detection limit in the rhizosphere and induction of systemic immune response in tomato plants grown in the greenhouse. Two signature BVCs, 2-nonanone and caryolan-1-ol, of GB03 and S4-7 respectively were successfully detected using the soil-vial system. However, these BVCs could not be detected in the rhizosphere pretreated with strains GB03 and S4-7. The detection limit of 2-nonanone in the tomato rhizosphere was 1 µM. Unexpectedly, drench application of 2-nonanone at 10 nM concentration, which is below its detection limit, protected tomato seedlings against Pseudomonas syringae pv. tomato. Our finding highlights that BVCs, including 2-nonanone, released by a soil bacterium are functional even when present at a concentration below the detection limit of SPME-GC-MS.

자궁부암의 조기발견을 위한 집단 적격 검사 계획의 경제학적 고려 (Economic Consideration of Mass Screening Program for Early Detection of Carcinoma of the Uterine Cervix)

  • 양달선
    • Journal of Preventive Medicine and Public Health
    • /
    • 제7권2호
    • /
    • pp.367-372
    • /
    • 1974
  • Authors reviewed 2,362 cases of consecutive vaginal and cervical smears submitted to the Department of Pathology, Pusan Gospel Hospital during one year period from Jan. 1, 1974 to Dec. 31, 1974. Prevalence of dysplasia, carcinoma in situ and invasive carcinoma of the uterine cervix was analyzed, and cost per a lesion was calculated. The followings are conclusions: 1. Prevalence of dysplasia, carcinoma in situ and invasive carcinoma was 2.88%, 0.34% and 2.58% restectively. 2. Cost per a lesion for dysplasia was calculated as 34,735 Won, for carcinoma in situ, as 295,250 Won and for invasive carcinoma as 38,721 Won. Cost per a lesion for dysplasia and carcinoma in situ was calculated as 31,079 Won and for dysplasia and for all the lesions as 17,248 Won. 3. The results obtained suggested that mass cytologic screeiding for detection of dysplasia, carcinoma in situ and invasive carcinoma was reasonable in the present status of economy.

  • PDF

Single-molecule fluorescence in situ hybridization: Quantitative imaging of single RNA molecules

  • Kwon, Sunjong
    • BMB Reports
    • /
    • 제46권2호
    • /
    • pp.65-72
    • /
    • 2013
  • In situ detection of RNAs is becoming increasingly important for analysis of gene expression within and between intact cells in tissues. International genomics efforts are now cataloging patterns of RNA transcription that play roles in cell function, differentiation, and disease formation, and they are demon-strating the importance of coding and noncoding RNA transcripts in these processes. However, these techniques typically provide ensemble averages of transcription across many cells. In situ hybridization-based analysis methods complement these studies by providing information about how expression levels change between cells within normal and diseased tissues, and they provide information about the localization of transcripts within cells, which is important in understanding mechanisms of gene regulation. Multi-color, single-molecule fluorescence in situ hybridization (smFISH) is particularly useful since it enables analysis of several different transcripts simultaneously. Combining smFISH with immunofluorescent protein detection provides additional information about the association between transcription level, cellular localization, and protein expression in individual cells.

전자현미경 In Situ Hybridization에 의한 Viral RNA의 진단에 관한 연구 (Studies on In Situ Hybridization of Electron Microscopy for Detection of Viral RNA)

  • 최원기;주경웅;김석홍
    • 대한의생명과학회지
    • /
    • 제2권2호
    • /
    • pp.257-265
    • /
    • 1996
  • 토끼 바이러스성 출혈증의 원인체를 실험 토끼에 접종하여 증식을 유도하고 간장에서 hematoxylin & eosin 염 색 에서 조직학적 진단과 세포내 viral RNA의 소재를 결정하기 위해 post-unicryl 포매한 block의 절편을 사용하여 단 염색과 전자현미경적 in situ hybridization을 시도하였다. 토끼 출혈증 viral RNA의 보합 결합에 이용하는 probe는 4717에서 4800(84bases)까지 oligonucleotide를 5'말단에 biotin-CE phosphoramidite로 표지하여 사용하였다. 보합결합물의 증명은 신호 표지로서 antibiotin antibody-l0nm gold를 사용하였으며, hybridization이나 증명은 기존 protocol에서 약간의 변법을 사용하였다. 0.02% glutaraldehyde에서 고정하고 unicryl resin 포매한 표본, biotinylated oligonucleotide probe, antibiotin antibody-l0nm gold로 실험한 결과 증강된 신호를 얻을 수 있었다. 특히 전처리를 생략하므로써 실험 과정을 간단하게 하여 신속한 결과를 얻을 수가 있었다. 전자현미경 in situ hybridization을 통하여 토끼 출혈증 바이러스의 주요 표적은 간세포로 감염 세포의 세포질 내 미토콘드리아와 핵 사이에서 immune gold입자가 뚜렷하게 표지 됨으로서 viral RNA를 증명할 수 있었다.

  • PDF

Optical In-Situ Plasma Process Monitoring Technique for Detection of Abnormal Plasma Discharge

  • Hong, Sang Jeen;Ahn, Jong Hwan;Park, Won Taek;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • 제14권2호
    • /
    • pp.71-77
    • /
    • 2013
  • Advanced semiconductor manufacturing technology requires methods to maximize tool efficiency and improve product quality by reducing process variability. Real-time plasma process monitoring and diagnosis have become crucial for fault detection and classification (FDC) and advanced process control (APC). Additional sensors may increase the accuracy of detection of process anomalies, and optical monitoring methods are non-invasive. In this paper, we propose the use of a chromatic data acquisition system for real-time in-situ plasma process monitoring called the Plasma Eyes Chromatic System (PECS). The proposed system was initially tested in a six-inch research tool, and it was then further evaluated for its potential to detect process anomalies in an eight-inch production tool for etching blanket oxide films. Chromatic representation of the PECS output shows a clear correlation with small changes in process parameters, such as RF power, pressure, and gas flow. We also present how the PECS may be adapted as an in-situ plasma arc detector. The proposed system can provide useful indications of a faulty process in a timely and non-invasive manner for successful run-to-run (R2R) control and FDC.

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF