• Title/Summary/Keyword: pattern mask

Search Result 270, Processing Time 0.025 seconds

Study on the shouting breathing pattern while jogging wearing a mask

  • Tian, Zhixing;Bae, Myung-Jin
    • International Journal of Advanced Culture Technology
    • /
    • v.9 no.2
    • /
    • pp.130-135
    • /
    • 2021
  • Because of the COVID-19 epidemic, many countries have made the obligation to wear masks normal. Wearing masks in public places has become a must. At present, wearing a mask to participate in sports makes it very common. People seek to gain health through exercise but ignore the potential respirato-ry health threat. That is, wearing a mask will cause a decrease in oxygen content in the body. This neg-ative impact becomes more prominent as the wear-ing time and oxygen consumption increase. To pro-tect people from viruses and enjoy a healthy life. This paper proposes a breathing pattern that im-proves blood oxygen saturation while wearing a jogging mask and walking. Namely, shouting breathing pattern. Use a pulse oximeter to measure the blood oxygen saturation of running at different speeds and compare the normal breathing pattern and the shouting breathing pattern. The results show that the shouting breathing pattern has a sig-nificant improvement in the blood oxygen satura-tion of low-speed walking and medium-speed jog-ging.

EUVL Mask Defect Isolation and Repair using Focused Ion Beam (Focused Ion Beam을 이용한 EUVL Mask Defect Isolation 및 Repair)

  • 김석구;백운규;박재근
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.2
    • /
    • pp.5-9
    • /
    • 2004
  • Microcircuit fabrication requires precise control of impurities in tiny regions of the silicon. These regions must be interconnected to create components and VLSI circuits. The patterns to define such regions are created by lithographic processes. In order to image features smaller than 70 nm, it is necessary to employ non-optical technology (or next generation lithography: NGL). One such NGL is extreme ultra-violet lithography (EUVL). EUVL transmits the pattern on the wafer surface after reflecting ultra-violet through mask pattern. If particles exist on the blank mask, it can't transmit the accurate pattern on the wafer and decrease the reflectivity. It is important to care the blank mask. We removed the particles on the wafer using focused ion beam (FIB). During removal, FIB beam caused damage the multi layer mask and it decreased the reflectivity. The relationship between particle removal and reflectivity is examined: i) transmission electron microscope (TEM) observation after particle removal, ii) reflectivity simulation. It is found that the image mode of FIB is more effective for particle removal than spot and bar mode.

  • PDF

Reverse design of photomask for optimum fiedelity in optical lithography (광리소그래피에서 최적 모양의 패턴 구현을 위한 포토마스크 역설계)

  • 이재철;오명호;임성우
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.12
    • /
    • pp.62-67
    • /
    • 1997
  • The optical lithography wit an ArF excimer laser as a light source is expected to be used in the mass production of giga-bit DRAMs which require less than 0.2.mu.m minimum feature size. In this case, the distortion of a patterned image becomes very severe, since the lithography porcess is performed at the resolution limit. Traditionally, the photomask pattern was designed and revised with trial-and-error methods, such as repeated execution of process simulators or actual process experiments which require time and effort. Ths paper describes a program which automatically finds an optimal mask pattern. The program divides the mask plane into cells with same sizes, chooses a cell randomly, changes the transparent/opaque property of the cell, and eventually genrates a mask pattern which produces required image pattern. The program was applied to real DRAM cell patterns to produce mask patterns which genertes image patterns closer to object images than original mask patterns.

  • PDF

Comparison of Commercial Multi-use Mask Patterns for Korean Adult Women

  • Cha, Su-Joung
    • Journal of the Korea Society of Computer and Information
    • /
    • v.27 no.10
    • /
    • pp.185-193
    • /
    • 2022
  • This study attempted to compare and analyze the commercially available multi-use patterns to develop mask patterns suitable for the face types of adult women. Through this, it was intended to provide necessary data to mask pattern development and products. As a results of comparing the dimensions and shapes of commercial multi-use mask patterns, there was a significant difference in dimensions even though it was a L-size mask manufactured for adults. As a result of the appearance evaluation of the virtual outfit, there were significant differences by design in the vertical of the center front line, the cover and space of the mask, the height of the nose, and the lower part of the mask. The side also showed significant differences in the covering of the side of the face, the space of the side, and the width and length of the string. As a result of the appearance evaluation, Mask 4 received the best evaluation. The shape of the mask pattern had a large dart in the lower part of the nose so that it can cover the three-dimensional shape of the face, but there was a difference in the degree and angle of the curve depending on the mask. Although the upper part of the mask, the lower part of the mask, and the cheek part are in close contact, the evaluation of the mask pattern, which has room in the nose and mouth, was high. It is thought that the mask pattern should be set according to the upper length, lower length, and nose height of the mask through analysis of the face shape and dimensions.

Development of Registration Method of Panel and Mask for FTM Tube (FTM 튜브의 판넬과 마스크의 일치방법 개발)

  • Yun, Jong-Soon;Jung, Jong-Yun
    • IE interfaces
    • /
    • v.11 no.2
    • /
    • pp.107-117
    • /
    • 1998
  • This paper presents a useful method of registration in manufacturing of shadow color mask for cathode ray tubes of the FTM (Flat Tension Mask) type, wherein the shadow mask and front panel are interchangeable when mask-panels are assembled, which is called ICM system. Theoretical analysis and alignment process are presented. The pattern of mask aperture is registered with a screen pattern of corresponding geometry of the panel in flat tension mask tube. Registration accuracy of panel and mask affects the purity of color cathode ray tube concerned with mislanding. It tries to minimize the misregistration caused by variances, which are mechanical error, mask stretching position error, restrictive number of fiducial point, etc.

  • PDF

GaN Grown Using Ti Metal Mask by HVPE(Hydride Vapor Phase Epitaxiy) (HVPE(Hydride Vapor Phase Epitaxiy) 성장법으로 Ti metal mask를 이용한 GaN 성장연구)

  • Kim, Dong-Sik
    • 전자공학회논문지 IE
    • /
    • v.48 no.2
    • /
    • pp.1-5
    • /
    • 2011
  • The epitaxial GaN layer of $120{\mu}m$ ~ $300{\mu}m$ thickness with a stripe Ti mask pattern is performed by hydride vapor phase epitaxy(HVPE). Ti strpie mask pattern is deposited by DC magnetron sputter on GaN epitaxial layer of $3{\mu}m$ thickness is grown by hydride vapor phase epitaxy(HVPE). Void are observed at point of Ti mask pattern when GaN layer is investigated by scanning electron microscope. The Crack of GaN layer is observed according to void when it is grown more thick GaN layer. The full width at half maximum of peak which is measured by X-ray diffraction is about 188 arcsec. It is not affected its crystallization by Ti meterial when GaN layer is overgrown on Ti stripe mask pattern according as it is measure FWHM of overgrowth GaN using Ti material against FWHM of first growth GaN epitaxial layer.

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography

  • Ahn, Jin-Ho;Shin, Hyun-Duck;Jeong, Chang-Young
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.13-18
    • /
    • 2010
  • Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.

Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography

  • Yoon Bo Kyung;Hwang Wonseok;Park Youn Jung;Hwang Jiyoung;Park Cheolmin;Chang Joonyeon
    • Macromolecular Research
    • /
    • v.13 no.5
    • /
    • pp.435-440
    • /
    • 2005
  • This study describes a method where the match of two different length scales, i.e., the patterns from self-assembled block copolymer (<50 nm) and electron beam writing (>50 nm), allow the nanometer scale pattern mask. The method is based on using block copolymers containing a poly(methyl methacrylate) (PMMA) block, which is subject to be decomposed under an electron beam, as a pattern resist for electron beam lithography. Electron beam on self assembled block copolymer thin film selectively etches PMMA microdomains, giving rise to a polymeric nano-pattern mask on which subsequent evaporation of chromium produces the arrays of Cr nanoparticles followed by lifting off the mask. Furthermore, electron beam lithography was performed on the micropatterned block copolymer film fabricated by micro-imprinting, leading to a hierarchical self assembled pattern where a broad range of length scales was effectively assembled, ranging from several tens of nanometers, through submicrons, to a few microns.

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Development of Working Pattern Aligner (Working Pattern Align장천 기발)

  • Hwang, Jae-Ho;Yang, Nam-Yeol
    • Proceedings of the KIEE Conference
    • /
    • 1999.07g
    • /
    • pp.3010-3011
    • /
    • 1999
  • 컴퓨터 모니터용 Color Display Tube (CDT)와 TV수상기용 Color Picture에 모두 사용되는 부품인 shadow mask는 전자총에서 발생된 전자빔이 Screen에 이르기 바로 전에 위치함으로써 화질에 지대한 영향을 미친다. 이러한 shadow mask의 제작 기술은 발전을 거듭하여 현재는 한 쌍의 working pattern을 사용하여 생산하는 방식이 사용되고 있다. 본 논문에서는 를 고정밀 하고 고정시키는 자동 장비의 개발 사례를 소개 한다.

  • PDF