• Title/Summary/Keyword: plasma enhanced atomic layer deposition

Search Result 74, Processing Time 0.034 seconds

Characteristics of NiO films prepared by atomic layer deposition using bis(ethylcyclopentadienyl)-Ni and O2 plasma

  • Ji, Su-Hyeon;Jang, Woo-Sung;Son, Jeong-Wook;Kim, Do-Heyoung
    • Korean Journal of Chemical Engineering
    • /
    • v.35 no.12
    • /
    • pp.2474-2479
    • /
    • 2018
  • Plasma-enhanced atomic layer deposition (PEALD) is well-known for fabricating conformal and uniform films with a well-controlled thickness at the atomic level over any type of supporting substrate. We prepared nickel oxide (NiO) thin films via PEALD using bis(ethylcyclopentadienyl)-nickel ($Ni(EtCp)_2$) and $O_2$ plasma. To optimize the PEALD process, the effects of parameters such as the precursor pulsing time, purging time, $O_2$ plasma exposure time, and power were examined. The optimal PEALD process has a wide deposition-temperature range of $100-325^{\circ}C$ and a growth rate of $0.037{\pm}0.002nm$ per cycle. The NiO films deposited on a silicon substrate with a high aspect ratio exhibited excellent conformality and high linearity with respect to the number of PEALD cycles, without nucleation delay.

Low-Temperature Growth of $SiO_2$ Films by Plasma-Enhanced Atomic Layer Deposition

  • Lim, Jung-Wook;Yun, Sun-Jin;Lee, Jin-Ho
    • ETRI Journal
    • /
    • v.27 no.1
    • /
    • pp.118-121
    • /
    • 2005
  • Silicon dioxide ($SiO_2$) films prepared by plasma-enhanced atomic-layer deposition were successfully grown at temperatures of $100\;to\;250^{\circ}C$, showing self-limiting characteristics. The growth rate decreases with an increasing deposition temperature. The relative dielectric constants of $SiO_2$ films are ranged from 4.5 to 7.7 with the decrease of growth temperature. A $SiO_2$ film grown at $250^{\circ}C$ exhibits a much lower leakage current than that grown at $100^{\circ}C$ due to its high film density and the fact that it contains deeper electron traps.

  • PDF

Electrical Properties of Molybdenum Metal Deposited by Plasma Enhanced - Atomic Layer Deposition of Variation Condition (다양한 조건의 플라즈마 원자층 증착법으로 증착된 Mo 금속의 전기적 특성)

  • Lim, Taewaen;Chang, Hyo Sik
    • Korean Journal of Materials Research
    • /
    • v.29 no.11
    • /
    • pp.715-719
    • /
    • 2019
  • Molybdenum is a low-resistivity transition metal that can be applied to silicon devices using Si-metal electrode structures and thin film solar cell electrodes. We investigate the deposition of metal Mo thin film by plasma-enhanced atomic layer deposition (PE-ALD). $Mo(CO)_6$ and $H_2$ plasma are used as precursor. $H_2$ plasma is induced between ALD cycles for reduction of $Mo(CO)_6$ and Mo film is deposited on Si substrate at $300^{\circ}C$. Through variation of PE-ALD conditions such as precursor pulse time, plasma pulse time and plasma power, we find that these conditions result in low resistivity. The resistivity is affected by Mo pulse time. We can find the reason through analyzing XPS data according to Mo pulse time. The thickness uniformity is affected by plasma power. The lowest resistivity is $176{\mu}{\Omega}{\cdot}cm$ at $Mo(CO)_6$ pulse time 3s. The thickness uniformity of metal Mo thin film deposited by PE-ALD shows a value of less than 3% below the plasma power of 200 W.

Low Temperature Plasma-Enhanced Atomic Layer Deposition Cobalt

  • Kim, Jae-Min;Kim, Hyeong-Jun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.11a
    • /
    • pp.28.2-28.2
    • /
    • 2009
  • Cobalt thin film was fabricated by a novel NH3-based plasma-enhanced atomic layer deposition(PE-ALD) using Co(CpAMD) precursor and $NH_3$ plasma. The PE-ALD Co thin films were produced well on both thermally grown oxide (100 nm) $SiO_2$ and Si(001) substrates. Chemical bonding states and compositions of PE-ALD Co films were analyzed by XPS and discussed in terms of resistivity and impurity level. Especially, we successfully developed PE-ALD Code position at very low growth temperature condition as low as $T_s=100^{\circ}C$, which enabled the fabrication of Co patterns through lift-off method after the deposition on PR patterned substrate without any thermal degradation.

  • PDF

PEALD과 ALD을 이용한 다공성 기판의 증착 특성 비교

  • Gang, Go-Ru;Cha, Deok-Jun;Kim, Jin-Tae;Yun, Ju-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.155.2-155.2
    • /
    • 2014
  • Plasma Enhanced Atomic Layer Deposition(PEALD)와 Atomic Layer Deposition(ALD) Techniques는 '정확한 두께 조절' 및 '우수한 균일도'를 가지는 신뢰할 수 있는 진공 기술이다. 본 연구에서는 다공성 구조를 가지는 기판을 대상으로 PEALD와 ALD Techniques을 이용한 $Al_2O_3$ 형성 공정의 증착 특성을 비교하였다. 각 공정은 공통적으로 Tris-Methyl-Aluminium(TMA)을 첫번째 전구체로 사용하였고 purge gas로는 Nitrogen를 사용하였다. 그리고 두번째 전구체로 PEALD 공정에서는 Oxygen Plasma를 사용하였고 ALD 공정에서는 Water를 사용하였다. 복잡한 다공성 구조를 가지는 기판은 $TiO_2$ Nano-Particle paste과 colloidal Silver paste를 소결시켜 제작하여 사용하였다. 각 공정의 차이점을 비교하기 위해서 배기단에 Capacitor Diaphram Gauge(CDG)와 Residual Gas Analyzer(RGA)를 통해서 압력과 잔류 가스를 모니터하였다. 그리고 각 공정을 통해서 porous한 Nano-Particles Network에 형성된 $Al_2O_3$막의 특성을 비교하기 위해서 FE-SEM과 EDX를 통해서 관찰하였다. 또한 좀 더 자세한 비교 분석을 위해서 $Al_2O_3$ 막이 형성된 porous한 Nano-Particles Networks의 각 각의 particles들을 분산시켜 TEM과 AFM를 통해서 관찰하였다. 나아가 전기적 물성의 차이점을 비교하기 위해서 IV 및 CV를 측정하였다. 위의 일련의 비교 실험을 통해서 'PEALD과 ALD을 이용한 다공성 기판의 증착 특성'에 대하여 고찰하였다.

  • PDF

[ $NH_3$ ] Pulse Plasma Treatment for Atomic Layer Deposition of W-N Diffusion Barrier (암모니아 펄스 플라즈마를 이용한 원자층 증착된 질화텅스텐 확산방지막 특성)

  • Lee, Chang-Woo
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.11 no.4 s.33
    • /
    • pp.29-35
    • /
    • 2004
  • We have deposited the W-N diffusion barrier on Si substrate with $NH_3$ pulse plasma enhanced atomic layer deposition (PPALD) method by using $WF_6$ and $NH_3$ gases. The $WF_6$ gas reacts with Si that the surface corrosion occurs severely, but the $NH_3$ gas incorporated with pulse plasma and $WF_6$ gas are easily deposited W-N thin film without Si surface corrosion. Because the $NH_3$ with pulse plasma can be active species dissociated and chemisorbed on Si. Thus the Si surface are covered and saturated with nitrogen, which are able to deposit the W-N thin film. We also examine the deposition mechanism and the effect of $NH_3$ pulse plasma treatment.

  • PDF

Plasma-Enhanced Atomic-Layer-Deposited SiO2 and SiON Thin Films at Low Temperature (< 300℃) using ICP Type Remote Plasma for 3-Dimensional Electronic Devices (3차원 소자 제작을 위한 ICP Type Remote PEALD를 이용한 저온(< 300℃) SiO2 및 SiON 박막 공정)

  • Kim, Dae Hyun;Park, Tea Joo
    • Journal of the Semiconductor & Display Technology
    • /
    • v.18 no.2
    • /
    • pp.98-102
    • /
    • 2019
  • Direct plasma-enhanced atomic layer deposition (PEALD) are widely used for $SiO_2$ and SiON thin film process in current semiconductor industry. However, this exhibits poor step coverage for three-dimensional device structure due directionality of plasma species as well as plasma damage on the substrate. In this study, to overcome this issue, low temperature (< $300^{\circ}C$) $SiO_2$ and SiON thin film processes were studied using inductively coupled plasma (ICP) type remote PEALD with various reactant gases such as $O_2$, $H_2O$, $N_2$ and $NH_3$. It was confirmed that the interfacial properties such as fixed charge density and charge trapping behavior of thin films were considerably improved by hydrogen species in $H_2O$ and $NH_3$ plasma compared to the films grown with $O_2$ and $N_2$ plasma. Furthermore, the leakage current density of the thin films was suppressed for same reason.

Construction of a PEALD System and Fabrication of Cobalt Thin Films (PEALD 장치 제작 및 Co박막 증착)

  • Lee, D.H.;Noh, S.J.
    • Journal of the Korean Vacuum Society
    • /
    • v.16 no.2
    • /
    • pp.110-115
    • /
    • 2007
  • A plasma enhanced atomic layer deposition(PEALD) system has been constructed adopting an inductively coupled plasma(ICP) source with an ALD system, and its plasma generation was carried out. Cobalt thin films were deposited on a p-type Si(100) wafer at $230^{\circ}C$. $Co_{2}(CO)_{6}$ was used as a cobalt precursor, $NH_{3}$ as a reactant, and Ar as a carrier and purge gas. The properties of the thin films were investigated using field emission scanning electron microscopy(FESEM) and auger electron spectroscopy(AES). Large amounts of impurities were found in both the ALD film and the PEALD film, however, the amount of impurities in the PEALD film was reduced to about 50 % compared to that in the ALD film. It was found that $NH_{3}$ plasma, very effectively, induces the reaction with carbon in a cobalt precursor.

Synthesis and Characterization of SnO2 Thin Films Deposited by Plasma Enhanced Atomic Layer Deposition Using SnCl4 Precursor and Oxygen Plasma

  • Lee, Dong-Gwon;Kim, Da-Yeong;Gwon, Se-Hun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.254-254
    • /
    • 2016
  • Tin dioxide (SnO2) thin film is one of the most important n-type semiconducting materials having a high transparency and chemical stability. Due to their favorable properties, it has been widely used as a base materials in the transparent conducting substrates, gas sensors, and other various electronic applications. Up to now, SnO2 thin film has been extensively studied by a various deposition techniques such as RF magnetron sputtering, sol-gel process, a solution process, pulsed laser deposition (PLD), chemical vapor deposition (CVD), and atomic layer deposition (ALD) [1-6]. Among them, ALD or plasma-enhanced ALD (PEALD) has recently been focused in diverse applications due to its inherent capability for nanotechnologies. SnO2 thin films can be prepared by ALD or PEALD using halide precursors or using various metal-organic (MO) precursors. In the literature, there are many reports on the ALD and PEALD processes for depositing SnO2 thin films using MO precursors [7-8]. However, only ALD-SnO2 processes has been reported for halide precursors and PEALD-SnO2 process has not been reported yet. Herein, therefore, we report the first PEALD process of SnO2 thin films using SnCl4 and oxygen plasma. In this work, the growth kinetics of PEALD-SnO2 as well as their physical and chemical properties were systemically investigated. Moreover, some promising applications of this process will be shown at the end of presentation.

  • PDF