DOI QR코드

DOI QR Code

An On-Chip Test Clock Control Scheme for Circuit Aging Monitoring

  • Yi, Hyunbean (Dept. of Computer Engineering/Graduate School of Information & Communications, Hanbat National University)
  • Received : 2012.05.05
  • Published : 2013.02.28

Abstract

In highly reliable and durable systems, failures due to aging might result in catastrophes. Aging monitoring techniques to prevent catastrophes by predicting such a failure are required. Aging can be monitored by performing a delay test at faster clocks than functional clock in field and checking the current delay state from the test clock frequencies at which the delay test is passed or failed. In this paper, we focus on test clock control scheme for a system-on-chip (SoC) with multiple clock domains. We describe limitations of existing at-speed test clock control methods and present an on-chip faster-than-at-speed test clock control scheme for intra/inter-clock domain test. Experimental results show our simulation results and area analysis. With a simple control scheme, with low area overhead, and without any modification of scan architecture, the proposed method enables faster-than-at-speed test of SoCs with multiple clock domains.

Keywords

References

  1. C. H. Tung, "Process-Structure-Property Relationship and its Impact on Microelectronics Device Reliability and Failure Mechanism," Journal of Semiconductor Technology and Science (JSTS), Vol. 3, No. 3, pp. 107-113, Sep. 2003.
  2. W. Wang et al., "Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology," IEEE Trans. on Device and Material Reliability, Vol. 7, No. 4, pp. 509-517, Dec. 2007. https://doi.org/10.1109/TDMR.2007.910130
  3. T. W. Chen et al., "Gate-Oxide Early Failure Prediction," Proc. IEEE VLSI Test Symp., pp. 111- 118, Apr. 2008.
  4. M. Noda et al., "On Estimation of NBTI-Induced Delay Degradation," IEEE European Test Symp., pp. 107-111, May 2010.
  5. O. Khan and S. Kundu, "A Self-Adaptive System Architecture to Address Transistor Aging," Proc. Design Automation and Test in Europe, pp. 81-86, Mar. 2009.
  6. M. Agarwal et al., "Circuit Failure Prediction and Its Application to Transistor Aging," Proc. IEEE VLSI Test Symp., pp. 277-284, May 2007.
  7. M. Agarwal et al., "Optimized circuit failure prediction for aging: practicality and promise," Proc. of Int'l Test Conf., no. 26.1, Oct. 2008.
  8. T. Nakura et al., "Fine Grain Redundant Logic Using Defect-Prediction Flip-Flops," IEEE int'l Solid-State Circuits Conf., pp. 402-403, Feb. 2007.
  9. Y. Li et al., "CASP: Concurrent Autonomous Chip Self-Test Using Stored Test Patterns," Proc. Design Automation and Test in Europe, pp. 885- 890, Mar. 2008.
  10. H. Yi et al., "A Failure Prediction Strategy for Transistor Aging," IEEE Trans. on VLSI Systems, pp. 1-9, Oct. 2011.
  11. S. Rusu and S. Tam, "Clock Generation and Distribution for the First IA-64 Microprocessor," IEEE int'l Solid-State Circuits Conf., TA 10.6, Feb. 2000.
  12. D. D. Josephson et al., "Debug Methodology for the McKinley Processor," Proc. Int'l Test Conf., pp. 451-460, Nov. 2001.
  13. S. Tam et al., "Clock Generation and Distribution for the 130-nm Itanium 2 Processor With 6-MB On-Die L3 Cache," IEEE Journal of Solid-State Circuits, Vol. 39, No. 4, pp. 636-642, Apr. 2004. https://doi.org/10.1109/JSSC.2004.825121
  14. J. Savir and S. Patil, "Scan-Based Transition Test," IEEE Trans. on Computer-Aided Design of Integrated Circuit and System, Vol. 12, Aug. 1993.
  15. J. Savir and S. Patil, "Broad-Side Delay Test," IEEE Trans. on Computer-Aided Design of Integrated Circuit and System, Vol. 13, Aug. 1994.
  16. J. Saxena et al., "Scan-Based Transition Fault Testing - Implementation and Low Cost Test Challenges," Proc. Int'l Test Conf., pp 1120-1129, Oct. 2002.
  17. S. Wang et al., "Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets," Proc. Design Automation and Test in Europe, pp. 1296-1301, Oct. 2004.
  18. K. Hatayama et al., "At-Speed Built-in Test for Logic Circuits with Multiple Clocks," Proc. IEEE Asian Test Symp., pp. 18-20, Nov. 2002.
  19. L. -T. Wang et al., "At-Speed Logic BIST Architecture for Multi-Clock Designs," Proc. IEEE Int'l Conf. on Computer Design: VLSI in Computers and Processors, pp. 475-478, Oct. 2005.
  20. H. Furukawa et al., "A Novel and Practical Control Scheme for Inter-Clock At-Speed Testing," Proc. Int'l Test Conf., pp. 1-10, Oct. 2006.
  21. X. Fan et al., "An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing," Proc. IEEE Asian Test Symp., pp. 341-346, Oct. 2007.
  22. K. Y. Cho and R. Srinivasan, "A Scan Cell Architecture for Inter-Clock At-Speed Delay Testing," IEEE VLSI Test Symp., pp. 213-218, May 2011.
  23. R. Tayade and J. A. Abraham, "On-Chip Programmable Capture for Accurate Path Delay Test and Characterization," Proc. IEEE Int'l Test Conf., paper 6.2, Oct. 2008.
  24. S. Pei et al., "An On-Chip Clock Generation Scheme for Faster-than-at-Speed Delay Testing," Proc. Design Automation and Test in Europe, pp. 1353-1356, Mar. 2010.
  25. M. Kim et al., "High Speed Pulse-based Flip-Flop with Pseudo MUX-type Scan for Standard Cell Library," Journal of Semiconductor Technology and Science (JSTS), Vol. 6, No. 2, pp. 74-78, June 2006.